blob: 06570c2ea3b504733b1871f643718d68465d1d06 [file] [log] [blame]
Patrick Georgiac959032020-05-05 22:49:26 +02001/* SPDX-License-Identifier: GPL-2.0-or-later */
Damien Zammit4b513a62015-08-20 00:37:05 +10002
Arthur Heymans1994e4482017-11-04 07:52:23 +01003#include <assert.h>
Damien Zammit4b513a62015-08-20 00:37:05 +10004#include <stdint.h>
Kyösti Mälkki13f66502019-03-03 08:01:05 +02005#include <device/mmio.h>
Kyösti Mälkkif1b58b72019-03-01 13:43:02 +02006#include <device/pci_ops.h>
Damien Zammit4b513a62015-08-20 00:37:05 +10007#include <console/console.h>
8#include <commonlib/helpers.h>
9#include <delay.h>
Julius Wernercd49cce2019-03-05 16:53:33 -080010#if CONFIG(SOUTHBRIDGE_INTEL_I82801GX)
Arthur Heymans97e13d82016-11-30 18:40:38 +010011#include <southbridge/intel/i82801gx/i82801gx.h>
Arthur Heymans349e0852017-04-09 20:48:37 +020012#else
13#include <southbridge/intel/i82801jx/i82801jx.h>
Arthur Heymans97e13d82016-11-30 18:40:38 +010014#endif
Arthur Heymans0bf87de2017-11-04 06:15:05 +010015#include <string.h>
Angel Pons41e66ac2020-09-15 13:17:23 +020016#include "raminit.h"
Martin Rothcbe38922016-01-05 19:40:41 -070017#include "x4x.h"
Damien Zammit4b513a62015-08-20 00:37:05 +100018
Damien Zammit9fb08f52016-01-22 18:56:23 +110019#define ME_UMA_SIZEMB 0
20
Elyes HAOUASe951e8e2019-06-15 11:03:00 +020021u32 fsb_to_mhz(u32 speed)
Damien Zammit4b513a62015-08-20 00:37:05 +100022{
23 return (speed * 267) + 800;
24}
25
Elyes HAOUASe951e8e2019-06-15 11:03:00 +020026u32 ddr_to_mhz(u32 speed)
Damien Zammit4b513a62015-08-20 00:37:05 +100027{
28 static const u16 mhz[] = { 0, 0, 667, 800, 1067, 1333 };
29
Jacob Garber5033d6c2019-06-11 15:23:23 -060030 if (speed <= 1 || speed >= ARRAY_SIZE(mhz))
31 die("RAM init: invalid memory speed %u\n", speed);
Damien Zammit4b513a62015-08-20 00:37:05 +100032
33 return mhz[speed];
34}
35
Arthur Heymansa2cc2312017-05-15 10:13:36 +020036static void program_crossclock(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +100037{
38 u8 i, j;
Arthur Heymans840c27e2017-05-15 10:21:37 +020039 u32 reg32;
Felix Held432575c2018-07-29 18:09:30 +020040 MCHBAR16_OR(0xc1c, (1 << 15));
Damien Zammit4b513a62015-08-20 00:37:05 +100041
Damien Zammit4b513a62015-08-20 00:37:05 +100042 static const u32 clkxtab[6][3][13] = {
Arthur Heymans8a3514d2016-10-27 23:56:08 +020043 /* MEMCLK 400 N/A */
44 {{}, {}, {} },
45 /* MEMCLK 533 N/A */
46 {{}, {}, {} },
47 /* MEMCLK 667
48 * FSB 800 */
Arthur Heymans840c27e2017-05-15 10:21:37 +020049 {{0x1f1f1f1f, 0x0d07070b, 0x00000000, 0x10000000,
Arthur Heymans8a3514d2016-10-27 23:56:08 +020050 0x20010208, 0x04080000, 0x10010002, 0x00000000,
51 0x00000000, 0x02000000, 0x04000100, 0x08000000,
52 0x10200204},
53 /* FSB 1067 */
54 {0x6d5b1f1f, 0x0f0f0f0f, 0x00000000, 0x20000000,
55 0x80020410, 0x02040008, 0x10000100, 0x00000000,
56 0x00000000, 0x04000000, 0x08000102, 0x20000000,
57 0x40010208},
58 /* FSB 1333 */
59 {0x05050303, 0xffffffff, 0xffff0000, 0x00000000,
60 0x08020000, 0x00000000, 0x00020001, 0x00000000,
61 0x00000000, 0x00000000, 0x08010204, 0x00000000,
62 0x04010000} },
63 /* MEMCLK 800
64 * FSB 800 */
65 {{0xffffffff, 0x05030305, 0x0000ffff, 0x0000000,
66 0x08010204, 0x00000000, 0x08010204, 0x0000000,
67 0x00000000, 0x00000000, 0x00020001, 0x0000000,
68 0x04080102},
69 /* FSB 1067 */
70 {0x07070707, 0x06030303, 0x00000000, 0x00000000,
71 0x08010200, 0x00000000, 0x04000102, 0x00000000,
Arthur Heymans840c27e2017-05-15 10:21:37 +020072 0x00000000, 0x00000000, 0x00020100, 0x00000000,
73 0x04080100},
Arthur Heymans8a3514d2016-10-27 23:56:08 +020074 /* FSB 1333 */
75 {0x0d0b0707, 0x3e1f1f2f, 0x01010000, 0x00000000,
76 0x10020400, 0x02000000, 0x00040100, 0x00000000,
77 0x00000000, 0x04080000, 0x00100102, 0x00000000,
78 0x08100200} },
79 /* MEMCLK 1067 */
80 {{},
81 /* FSB 1067 */
82 {0xffffffff, 0x05030305, 0x0000ffff, 0x00000000,
83 0x04080102, 0x00000000, 0x08010204, 0x00000000,
84 0x00000000, 0x00000000, 0x00020001, 0x00000000,
85 0x02040801},
86 /* FSB 1333 */
87 {0x0f0f0f0f, 0x5b1f1f6d, 0x00000000, 0x00000000,
88 0x08010204, 0x04000000, 0x00080102, 0x00000000,
89 0x00000000, 0x02000408, 0x00100001, 0x00000000,
90 0x04080102} },
91 /* MEMCLK 1333 */
92 {{}, {},
93 /* FSB 1333 */
94 {0xffffffff, 0x05030305, 0x0000ffff, 0x00000000,
95 0x04080102, 0x00000000, 0x04080102, 0x00000000,
96 0x00000000, 0x00000000, 0x00000000, 0x00000000,
97 0x02040801} }
Damien Zammit4b513a62015-08-20 00:37:05 +100098 };
99
100 i = (u8)s->selected_timings.mem_clk;
101 j = (u8)s->selected_timings.fsb_clk;
102
103 MCHBAR32(0xc04) = clkxtab[i][j][0];
Arthur Heymans840c27e2017-05-15 10:21:37 +0200104 reg32 = clkxtab[i][j][1];
105 if (s->spd_type == DDR3 && s->max_fsb == FSB_CLOCK_1333MHz
106 && s->selected_timings.mem_clk == MEM_CLOCK_800MHz) {
107 reg32 &= ~(0xff << 24);
108 reg32 |= 0x3d << 24;
109 }
110 MCHBAR32(0xc50) = reg32;
Damien Zammit4b513a62015-08-20 00:37:05 +1000111 MCHBAR32(0xc54) = clkxtab[i][j][2];
Felix Held432575c2018-07-29 18:09:30 +0200112 MCHBAR8_OR(0xc08, (1 << 7));
Damien Zammit4b513a62015-08-20 00:37:05 +1000113 MCHBAR32(0x6d8) = clkxtab[i][j][3];
114 MCHBAR32(0x6e0) = clkxtab[i][j][3];
115 MCHBAR32(0x6dc) = clkxtab[i][j][4];
116 MCHBAR32(0x6e4) = clkxtab[i][j][4];
117 MCHBAR32(0x6e8) = clkxtab[i][j][5];
118 MCHBAR32(0x6f0) = clkxtab[i][j][5];
119 MCHBAR32(0x6ec) = clkxtab[i][j][6];
120 MCHBAR32(0x6f4) = clkxtab[i][j][6];
121 MCHBAR32(0x6f8) = clkxtab[i][j][7];
122 MCHBAR32(0x6fc) = clkxtab[i][j][8];
123 MCHBAR32(0x708) = clkxtab[i][j][11];
124 MCHBAR32(0x70c) = clkxtab[i][j][12];
125}
126
Arthur Heymansa2cc2312017-05-15 10:13:36 +0200127static void setioclk_dram(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +1000128{
129 MCHBAR32(0x1bc) = 0x08060402;
Felix Held432575c2018-07-29 18:09:30 +0200130 MCHBAR16_OR(0x1c0, 0x200);
131 MCHBAR16_OR(0x1c0, 0x100);
132 MCHBAR16_OR(0x1c0, 0x20);
133 MCHBAR16_AND(0x1c0, ~1);
Damien Zammit4b513a62015-08-20 00:37:05 +1000134 switch (s->selected_timings.mem_clk) {
135 default:
136 case MEM_CLOCK_800MHz:
137 case MEM_CLOCK_1066MHz:
Felix Held432575c2018-07-29 18:09:30 +0200138 MCHBAR8_AND_OR(0x5d9, ~0x2, 0x2);
139 MCHBAR8_AND_OR(0x9d9, ~0x2, 0x2);
140 MCHBAR8_AND_OR(0x189, ~0xf0, 0xc0);
141 MCHBAR8_AND_OR(0x189, ~0xf0, 0xe0);
142 MCHBAR8_AND_OR(0x189, ~0xf0, 0xa0);
Damien Zammit4b513a62015-08-20 00:37:05 +1000143 break;
144 case MEM_CLOCK_667MHz:
145 case MEM_CLOCK_1333MHz:
Felix Held432575c2018-07-29 18:09:30 +0200146 MCHBAR8_AND(0x5d9, ~0x2);
147 MCHBAR8_AND(0x9d9, ~0x2);
148 MCHBAR8_AND_OR(0x189, ~0xf0, 0x40);
Damien Zammit4b513a62015-08-20 00:37:05 +1000149 break;
150 }
Felix Held432575c2018-07-29 18:09:30 +0200151 MCHBAR32_OR(0x594, 1 << 31);
152 MCHBAR32_OR(0x994, 1 << 31);
Damien Zammit4b513a62015-08-20 00:37:05 +1000153}
154
Arthur Heymansa2cc2312017-05-15 10:13:36 +0200155static void launch_dram(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +1000156{
157 u8 i;
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200158 u32 launch1;
Damien Zammit4b513a62015-08-20 00:37:05 +1000159 u32 launch2 = 0;
Damien Zammit4b513a62015-08-20 00:37:05 +1000160
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200161 static const u32 ddr3_launch1_tab[2][3] = {
162 /* 1N */
163 {0x58000007, /* DDR3 800 */
164 0x58000007, /* DDR3 1067 */
165 0x58100107}, /* DDR3 1333 */
166 /* 2N */
167 {0x58001117, /* DDR3 800 */
168 0x58001117, /* DDR3 1067 */
169 0x58001117} /* DDR3 1333 */
170 };
171
172 static const u32 ddr3_launch2_tab[2][3][6] = {
173 { /* 1N */
174 /* DDR3 800 */
175 {0x08030000, /* CL = 5 */
176 0x0C040100}, /* CL = 6 */
177 /* DDR3 1066 */
178 {0x00000000, /* CL = 5 */
179 0x00000000, /* CL = 6 */
180 0x10050100, /* CL = 7 */
181 0x14260200}, /* CL = 8 */
182 /* DDR3 1333 */
183 {0x00000000, /* CL = 5 */
184 0x00000000, /* CL = 6 */
185 0x00000000, /* CL = 7 */
186 0x14060000, /* CL = 8 */
187 0x18070100, /* CL = 9 */
188 0x1C280200}, /* CL = 10 */
189
190 },
191 { /* 2N */
192 /* DDR3 800 */
193 {0x00040101, /* CL = 5 */
194 0x00250201}, /* CL = 6 */
195 /* DDR3 1066 */
196 {0x00000000, /* CL = 5 */
197 0x00050101, /* CL = 6 */
198 0x04260201, /* CL = 7 */
199 0x08470301}, /* CL = 8 */
200 /* DDR3 1333 */
201 {0x00000000, /* CL = 5 */
202 0x00000000, /* CL = 6 */
203 0x00000000, /* CL = 7 */
204 0x08070100, /* CL = 8 */
205 0x0C280200, /* CL = 9 */
206 0x10490300} /* CL = 10 */
207 }
208 };
209
210 if (s->spd_type == DDR2) {
211 launch1 = 0x58001117;
212 if (s->selected_timings.CAS == 5)
213 launch2 = 0x00220201;
214 else if (s->selected_timings.CAS == 6)
215 launch2 = 0x00230302;
216 else
217 die("Unsupported CAS\n");
218 } else { /* DDR3 */
219 /* Default 2N mode */
220 s->nmode = 2;
221
222 if (s->selected_timings.mem_clk <= MEM_CLOCK_1066MHz)
223 s->nmode = 1;
Elyes HAOUAS6538d912021-01-16 15:01:23 +0100224 /* 2N on DDR3 1066 with 2 dimms per channel */
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200225 if ((s->selected_timings.mem_clk == MEM_CLOCK_1066MHz) &&
226 (BOTH_DIMMS_ARE_POPULATED(s->dimms, 0) ||
227 BOTH_DIMMS_ARE_POPULATED(s->dimms, 1)))
228 s->nmode = 2;
229 launch1 = ddr3_launch1_tab[s->nmode - 1]
230 [s->selected_timings.mem_clk - MEM_CLOCK_800MHz];
231 launch2 = ddr3_launch2_tab[s->nmode - 1]
232 [s->selected_timings.mem_clk - MEM_CLOCK_800MHz]
233 [s->selected_timings.CAS - 5];
234 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000235
236 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
237 MCHBAR32(0x400*i + 0x220) = launch1;
238 MCHBAR32(0x400*i + 0x224) = launch2;
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200239 MCHBAR32(0x400*i + 0x21c) = 0;
Felix Held432575c2018-07-29 18:09:30 +0200240 MCHBAR32_OR(0x400*i + 0x248, 1 << 23);
Damien Zammit4b513a62015-08-20 00:37:05 +1000241 }
242
Felix Held432575c2018-07-29 18:09:30 +0200243 MCHBAR32_AND_OR(0x2c0, ~0x58000000, 0x48000000);
244 MCHBAR32_OR(0x2c0, 0x1e0);
245 MCHBAR32_AND_OR(0x2c4, ~0xf, 0xc);
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200246 if (s->spd_type == DDR3)
Felix Held432575c2018-07-29 18:09:30 +0200247 MCHBAR32_OR(0x2c4, 0x100);
Damien Zammit4b513a62015-08-20 00:37:05 +1000248}
249
Angel Pons43a5e0c2021-01-13 14:39:20 +0100250static void write_txdll_tap_pi(u8 ch, u16 reg, u8 tap, u8 pi)
251{
252 MCHBAR8_AND_OR(0x400 * ch + reg, ~0x7f, pi << 4 | tap);
253}
254
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200255static void clkset0(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000256{
Felix Held3a2f9002018-07-29 18:51:22 +0200257 MCHBAR16_AND_OR(0x400*ch + 0x5a0, ~0xc440,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200258 (setting->clk_delay << 14) |
259 (setting->db_sel << 6) |
Felix Held3a2f9002018-07-29 18:51:22 +0200260 (setting->db_en << 10));
Angel Pons43a5e0c2021-01-13 14:39:20 +0100261 write_txdll_tap_pi(ch, 0x581, setting->tap, setting->pi);
Damien Zammit4b513a62015-08-20 00:37:05 +1000262}
263
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200264static void clkset1(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000265{
Felix Held3a2f9002018-07-29 18:51:22 +0200266 MCHBAR32_AND_OR(0x400*ch + 0x5a0, ~0x30880,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200267 (setting->clk_delay << 16) |
268 (setting->db_sel << 7) |
Felix Held3a2f9002018-07-29 18:51:22 +0200269 (setting->db_en << 11));
Angel Pons43a5e0c2021-01-13 14:39:20 +0100270 write_txdll_tap_pi(ch, 0x582, setting->tap, setting->pi);
Damien Zammit4b513a62015-08-20 00:37:05 +1000271}
272
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200273static void ctrlset0(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000274{
Felix Held3a2f9002018-07-29 18:51:22 +0200275 MCHBAR32_AND_OR(0x400*ch + 0x59c, ~0x3300000,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200276 (setting->clk_delay << 24) |
277 (setting->db_sel << 20) |
Felix Held3a2f9002018-07-29 18:51:22 +0200278 (setting->db_en << 21));
Angel Pons43a5e0c2021-01-13 14:39:20 +0100279 write_txdll_tap_pi(ch, 0x584, setting->tap, setting->pi);
Damien Zammit4b513a62015-08-20 00:37:05 +1000280}
281
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200282static void ctrlset1(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000283{
Felix Held3a2f9002018-07-29 18:51:22 +0200284 MCHBAR32_AND_OR(0x400*ch + 0x59c, ~0x18c00000,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200285 (setting->clk_delay << 27) |
286 (setting->db_sel << 22) |
Felix Held3a2f9002018-07-29 18:51:22 +0200287 (setting->db_en << 23));
Angel Pons43a5e0c2021-01-13 14:39:20 +0100288 write_txdll_tap_pi(ch, 0x585, setting->tap, setting->pi);
Damien Zammit4b513a62015-08-20 00:37:05 +1000289}
290
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200291static void ctrlset2(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000292{
Angel Pons22fd0dc2021-01-13 14:27:14 +0100293 /*
294 * MRC uses an incorrect mask when programming this register, but
295 * the reset default value is zero and it is only programmed once.
296 * As it makes no difference, we can safely use the correct mask.
297 */
298 MCHBAR32_AND_OR(0x400*ch + 0x598, ~0xf000,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200299 (setting->clk_delay << 14) |
300 (setting->db_sel << 12) |
Felix Held3a2f9002018-07-29 18:51:22 +0200301 (setting->db_en << 13));
Angel Pons43a5e0c2021-01-13 14:39:20 +0100302 write_txdll_tap_pi(ch, 0x586, setting->tap, setting->pi);
Damien Zammit4b513a62015-08-20 00:37:05 +1000303}
304
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200305static void ctrlset3(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000306{
Angel Pons22fd0dc2021-01-13 14:27:14 +0100307 /*
308 * MRC uses an incorrect mask when programming this register, but
309 * the reset default value is zero and it is only programmed once.
310 * As it makes no difference, we can safely use the correct mask.
311 */
312 MCHBAR32_AND_OR(0x400*ch + 0x598, ~0xf00,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200313 (setting->clk_delay << 10) |
314 (setting->db_sel << 8) |
Felix Held3a2f9002018-07-29 18:51:22 +0200315 (setting->db_en << 9));
Angel Pons43a5e0c2021-01-13 14:39:20 +0100316 write_txdll_tap_pi(ch, 0x587, setting->tap, setting->pi);
Damien Zammit4b513a62015-08-20 00:37:05 +1000317}
318
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200319static void cmdset(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000320{
Felix Held3a2f9002018-07-29 18:51:22 +0200321 MCHBAR8_AND_OR(0x400*ch + 0x598, ~0x30, setting->clk_delay << 4);
322 MCHBAR8_AND_OR(0x400*ch + 0x594, ~0x60,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200323 (setting->db_sel << 5) |
Felix Held3a2f9002018-07-29 18:51:22 +0200324 (setting->db_en << 6));
Angel Pons43a5e0c2021-01-13 14:39:20 +0100325 write_txdll_tap_pi(ch, 0x580, setting->tap, setting->pi);
Damien Zammit4b513a62015-08-20 00:37:05 +1000326}
327
Arthur Heymans3876f242017-06-09 22:55:22 +0200328/**
329 * All finer DQ and DQS DLL settings are set to the same value
330 * for each rank in a channel, while coarse is common.
331 */
Arthur Heymans95c48cb2017-11-04 08:07:06 +0100332void dqsset(u8 ch, u8 lane, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000333{
Arthur Heymans3876f242017-06-09 22:55:22 +0200334 int rank;
Damien Zammit4b513a62015-08-20 00:37:05 +1000335
Felix Held3a2f9002018-07-29 18:51:22 +0200336 MCHBAR32_AND_OR(0x400 * ch + 0x5fc, ~(1 << (lane * 4 + 1)),
337 setting->coarse << (lane * 4 + 1));
Damien Zammit4b513a62015-08-20 00:37:05 +1000338
Arthur Heymans3876f242017-06-09 22:55:22 +0200339 for (rank = 0; rank < 4; rank++) {
Felix Held3a2f9002018-07-29 18:51:22 +0200340 MCHBAR32_AND_OR(0x400 * ch + 0x5b4 + rank * 4, ~(0x201 << lane),
341 (setting->db_en << (9 + lane)) |
342 (setting->db_sel << lane));
Damien Zammit4b513a62015-08-20 00:37:05 +1000343
Felix Held3a2f9002018-07-29 18:51:22 +0200344 MCHBAR32_AND_OR(0x400*ch + 0x5c8 + rank * 4,
345 ~(0x3 << (16 + lane * 2)),
346 setting->clk_delay << (16+lane * 2));
Arthur Heymans3876f242017-06-09 22:55:22 +0200347
Angel Pons43a5e0c2021-01-13 14:39:20 +0100348 write_txdll_tap_pi(ch, 0x520 + lane * 4 + rank, setting->tap, setting->pi);
Arthur Heymans3876f242017-06-09 22:55:22 +0200349 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000350}
351
Arthur Heymans95c48cb2017-11-04 08:07:06 +0100352void dqset(u8 ch, u8 lane, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000353{
Arthur Heymans3876f242017-06-09 22:55:22 +0200354 int rank;
Felix Held3a2f9002018-07-29 18:51:22 +0200355 MCHBAR32_AND_OR(0x400 * ch + 0x5fc, ~(1 << (lane * 4)),
356 setting->coarse << (lane * 4));
Damien Zammit4b513a62015-08-20 00:37:05 +1000357
Arthur Heymans3876f242017-06-09 22:55:22 +0200358 for (rank = 0; rank < 4; rank++) {
Felix Held3a2f9002018-07-29 18:51:22 +0200359 MCHBAR32_AND_OR(0x400 * ch + 0x5a4 + rank * 4, ~(0x201 << lane),
360 (setting->db_en << (9 + lane)) |
361 (setting->db_sel << lane));
Damien Zammit4b513a62015-08-20 00:37:05 +1000362
Felix Held3a2f9002018-07-29 18:51:22 +0200363 MCHBAR32_AND_OR(0x400 * ch + 0x5c8 + rank * 4,
364 ~(0x3 << (lane * 2)), setting->clk_delay << (2 * lane));
Damien Zammit4b513a62015-08-20 00:37:05 +1000365
Angel Pons43a5e0c2021-01-13 14:39:20 +0100366 write_txdll_tap_pi(ch, 0x500 + lane * 4 + rank, setting->tap, setting->pi);
Arthur Heymans3876f242017-06-09 22:55:22 +0200367 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000368}
369
Arthur Heymans95c48cb2017-11-04 08:07:06 +0100370void rt_set_dqs(u8 channel, u8 lane, u8 rank,
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100371 struct rt_dqs_setting *dqs_setting)
372{
373 u16 saved_tap = MCHBAR16(0x540 + 0x400 * channel + lane * 4);
374 u16 saved_pi = MCHBAR16(0x542 + 0x400 * channel + lane * 4);
Arthur Heymans95c48cb2017-11-04 08:07:06 +0100375 printk(RAM_SPEW, "RT DQS: ch%d, r%d, L%d: %d.%d\n", channel, rank, lane,
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100376 dqs_setting->tap,
377 dqs_setting->pi);
378
379 saved_tap &= ~(0xf << (rank * 4));
380 saved_tap |= dqs_setting->tap << (rank * 4);
381 MCHBAR16(0x540 + 0x400 * channel + lane * 4) = saved_tap;
382
383 saved_pi &= ~(0x7 << (rank * 3));
384 saved_pi |= dqs_setting->pi << (rank * 3);
385 MCHBAR16(0x542 + 0x400 * channel + lane * 4) = saved_pi;
386}
387
Arthur Heymansa2cc2312017-05-15 10:13:36 +0200388static void program_timings(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +1000389{
390 u8 i;
391 u8 twl, ta1, ta2, ta3, ta4;
392 u8 reg8;
393 u8 flag1 = 0;
394 u8 flag2 = 0;
395 u16 reg16;
396 u32 reg32;
397 u16 ddr, fsb;
398 u8 trpmod = 0;
399 u8 bankmod = 1;
400 u8 pagemod = 0;
Arthur Heymanseee4f6b2017-01-03 00:49:45 +0100401 u8 adjusted_cas;
402
403 adjusted_cas = s->selected_timings.CAS - 3;
Damien Zammit4b513a62015-08-20 00:37:05 +1000404
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200405 u16 fsb_to_ps[3] = {
Angel Pons9d20c842021-01-13 12:39:37 +0100406 5000, /* 800 */
407 3750, /* 1067 */
408 3000 /* 1333 */
Damien Zammit4b513a62015-08-20 00:37:05 +1000409 };
410
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200411 u16 ddr_to_ps[6] = {
Angel Pons9d20c842021-01-13 12:39:37 +0100412 5000, /* 400 */
413 3750, /* 533 */
414 3000, /* 667 */
415 2500, /* 800 */
416 1875, /* 1067 */
417 1500 /* 1333 */
Damien Zammit4b513a62015-08-20 00:37:05 +1000418 };
419
420 u16 lut1[6] = {
421 0,
422 0,
423 2600,
424 3120,
425 4171,
426 5200
427 };
428
Elyes HAOUAS68ec3eb2019-06-22 09:21:18 +0200429 static const u8 ddr3_turnaround_tab[3][6][4] = {
Arthur Heymans66a0f552017-05-15 10:33:01 +0200430 { /* DDR3 800 */
431 {0x9, 0x7, 0x7, 0x9}, /* CL = 5 */
432 {0x9, 0x7, 0x8, 0x8}, /* CL = 6 */
433 },
434 { /* DDR3 1066 */
435 {0x0, 0x0, 0x0, 0x0}, /* CL = 5 - Not supported */
436 {0x9, 0x7, 0x7, 0x9}, /* CL = 6 */
437 {0x9, 0x7, 0x8, 0x8}, /* CL = 7 */
438 {0x9, 0x7, 0x9, 0x7} /* CL = 8 */
439 },
440 { /* DDR3 1333 */
441 {0x0, 0x0, 0x0, 0x0}, /* CL = 5 - Not supported */
442 {0x0, 0x0, 0x0, 0x0}, /* CL = 6 - Not supported */
443 {0x0, 0x0, 0x0, 0x0}, /* CL = 7 - Not supported */
444 {0x9, 0x7, 0x9, 0x8}, /* CL = 8 */
445 {0x9, 0x7, 0xA, 0x7}, /* CL = 9 */
446 {0x9, 0x7, 0xB, 0x6}, /* CL = 10 */
447 }
448 };
Damien Zammit4b513a62015-08-20 00:37:05 +1000449
Arthur Heymans66a0f552017-05-15 10:33:01 +0200450 /* [DDR freq][0x26F & 1][pagemod] */
Elyes HAOUAS68ec3eb2019-06-22 09:21:18 +0200451 static const u8 ddr2_x252_tab[2][2][2] = {
Arthur Heymans66a0f552017-05-15 10:33:01 +0200452 { /* DDR2 667 */
453 {12, 16},
454 {14, 18}
455 },
456 { /* DDR2 800 */
457 {14, 18},
458 {16, 20}
459 }
460 };
461
Elyes HAOUAS68ec3eb2019-06-22 09:21:18 +0200462 static const u8 ddr3_x252_tab[3][2][2] = {
Arthur Heymans66a0f552017-05-15 10:33:01 +0200463 { /* DDR3 800 */
464 {16, 20},
465 {18, 22}
466 },
467 { /* DDR3 1067 */
468 {20, 26},
469 {26, 26}
470 },
471 { /* DDR3 1333 */
472 {20, 30},
473 {22, 32},
474 }
475 };
476
477 if (s->spd_type == DDR2) {
478 ta1 = 6;
479 ta2 = 6;
480 ta3 = 5;
481 ta4 = 8;
482 } else {
483 int ddr3_idx = s->selected_timings.mem_clk - MEM_CLOCK_800MHz;
484 int cas_idx = s->selected_timings.CAS - 5;
485 ta1 = ddr3_turnaround_tab[ddr3_idx][cas_idx][0];
486 ta2 = ddr3_turnaround_tab[ddr3_idx][cas_idx][1];
487 ta3 = ddr3_turnaround_tab[ddr3_idx][cas_idx][2];
488 ta4 = ddr3_turnaround_tab[ddr3_idx][cas_idx][3];
489 }
490
491 if (s->spd_type == DDR2)
492 twl = s->selected_timings.CAS - 1;
493 else /* DDR3 */
494 twl = s->selected_timings.mem_clk - MEM_CLOCK_800MHz + 5;
Damien Zammit4b513a62015-08-20 00:37:05 +1000495
496 FOR_EACH_POPULATED_DIMM(s->dimms, i) {
Arthur Heymans3cf94032017-04-05 16:17:26 +0200497 if (s->dimms[i].n_banks == N_BANKS_8) {
Damien Zammit4b513a62015-08-20 00:37:05 +1000498 trpmod = 1;
499 bankmod = 0;
500 }
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100501 if (s->dimms[i].page_size == 2048)
Damien Zammit4b513a62015-08-20 00:37:05 +1000502 pagemod = 1;
Damien Zammit4b513a62015-08-20 00:37:05 +1000503 }
504
505 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Felix Held432575c2018-07-29 18:09:30 +0200506 MCHBAR8_OR(0x400*i + 0x26f, 0x3);
507 MCHBAR8_AND_OR(0x400*i + 0x228, ~0x7, 0x2);
508 /* tWL - x ?? */
509 MCHBAR8_AND_OR(0x400*i + 0x240, ~0xf0, 0 << 4);
Felix Held3a2f9002018-07-29 18:51:22 +0200510 MCHBAR8_AND_OR(0x400*i + 0x240, ~0xf, adjusted_cas);
511 MCHBAR16_AND_OR(0x400*i + 0x265, ~0x3f00,
512 (adjusted_cas + 9) << 8);
Damien Zammit4b513a62015-08-20 00:37:05 +1000513
514 reg16 = (s->selected_timings.tRAS << 11) |
515 ((twl + 4 + s->selected_timings.tWR) << 6) |
516 ((2 + MAX(s->selected_timings.tRTP, 2)) << 2) | 1;
517 MCHBAR16(0x400*i + 0x250) = reg16;
518
519 reg32 = (bankmod << 21) |
520 (s->selected_timings.tRRD << 17) |
521 (s->selected_timings.tRP << 13) |
522 ((s->selected_timings.tRP + trpmod) << 9) |
523 s->selected_timings.tRFC;
Arthur Heymans66a0f552017-05-15 10:33:01 +0200524 if (bankmod == 0) {
525 reg8 = (MCHBAR8(0x400*i + 0x26f) >> 1) & 1;
526 if (s->spd_type == DDR2)
527 reg32 |= ddr2_x252_tab[s->selected_timings.mem_clk
528 - MEM_CLOCK_667MHz][reg8][pagemod]
529 << 22;
530 else
531 reg32 |= ddr3_x252_tab[s->selected_timings.mem_clk
532 - MEM_CLOCK_800MHz][reg8][pagemod]
533 << 22;
Damien Zammit4b513a62015-08-20 00:37:05 +1000534 }
535 MCHBAR32(0x400*i + 0x252) = reg32;
536
537 MCHBAR16(0x400*i + 0x256) = (s->selected_timings.tRCD << 12) |
538 (0x4 << 8) | (ta2 << 4) | ta4;
539
540 MCHBAR32(0x400*i + 0x258) = (s->selected_timings.tRCD << 17) |
541 ((twl + 4 + s->selected_timings.tWTR) << 12) |
542 (ta3 << 8) | (4 << 4) | ta1;
543
544 MCHBAR16(0x400*i + 0x25b) = ((s->selected_timings.tRP + trpmod) << 9) |
545 s->selected_timings.tRFC;
546
Felix Held3a2f9002018-07-29 18:51:22 +0200547 MCHBAR16_AND_OR(0x400*i + 0x260, ~0x3fe,
548 (s->spd_type == DDR2 ? 100 : 256) << 1);
Damien Zammit4b513a62015-08-20 00:37:05 +1000549 MCHBAR8(0x400*i + 0x264) = 0xff;
Felix Held3a2f9002018-07-29 18:51:22 +0200550 MCHBAR8_AND_OR(0x400*i + 0x25d, ~0x3f,
551 s->selected_timings.tRAS);
Damien Zammit4b513a62015-08-20 00:37:05 +1000552 MCHBAR16(0x400*i + 0x244) = 0x2310;
553
554 switch (s->selected_timings.mem_clk) {
555 case MEM_CLOCK_667MHz:
556 reg8 = 0;
557 break;
558 default:
559 reg8 = 1;
560 break;
561 }
562
Felix Held3a2f9002018-07-29 18:51:22 +0200563 MCHBAR8_AND_OR(0x400*i + 0x246, ~0x1f, (reg8 << 2) | 1);
Damien Zammit4b513a62015-08-20 00:37:05 +1000564
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200565 fsb = fsb_to_ps[s->selected_timings.fsb_clk];
566 ddr = ddr_to_ps[s->selected_timings.mem_clk];
Arthur Heymans66a0f552017-05-15 10:33:01 +0200567 reg32 = (u32)((s->selected_timings.CAS + 7 + reg8) * ddr);
Damien Zammit4b513a62015-08-20 00:37:05 +1000568 reg32 = (u32)((reg32 / fsb) << 8);
569 reg32 |= 0x0e000000;
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200570 if ((fsb_to_mhz(s->selected_timings.fsb_clk) /
571 ddr_to_mhz(s->selected_timings.mem_clk)) > 2) {
Damien Zammit4b513a62015-08-20 00:37:05 +1000572 reg32 |= 1 << 24;
573 }
Felix Held3a2f9002018-07-29 18:51:22 +0200574 MCHBAR32_AND_OR(0x400*i + 0x248, ~0x0f001f00, reg32);
Damien Zammit4b513a62015-08-20 00:37:05 +1000575
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100576 if (twl > 2)
Damien Zammit4b513a62015-08-20 00:37:05 +1000577 flag1 = 1;
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100578
579 if (s->selected_timings.mem_clk >= MEM_CLOCK_800MHz)
Damien Zammit4b513a62015-08-20 00:37:05 +1000580 flag2 = 1;
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100581
Damien Zammit4b513a62015-08-20 00:37:05 +1000582 reg16 = (u8)(twl - 1 - flag1 - flag2);
583 reg16 |= reg16 << 4;
584 if (s->selected_timings.mem_clk == MEM_CLOCK_1333MHz) {
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100585 if (reg16)
Damien Zammit4b513a62015-08-20 00:37:05 +1000586 reg16--;
Damien Zammit4b513a62015-08-20 00:37:05 +1000587 }
588 reg16 |= flag1 << 8;
589 reg16 |= flag2 << 9;
Felix Held432575c2018-07-29 18:09:30 +0200590 MCHBAR16_AND_OR(0x400*i + 0x24d, ~0x1ff, reg16);
Damien Zammit4b513a62015-08-20 00:37:05 +1000591 MCHBAR16(0x400*i + 0x25e) = 0x15a5;
Felix Held432575c2018-07-29 18:09:30 +0200592 MCHBAR32_AND(0x400*i + 0x265, ~0x1f);
593 MCHBAR32_AND_OR(0x400*i + 0x269, ~0x000fffff,
594 (0x3f << 14) | lut1[s->selected_timings.mem_clk]);
595 MCHBAR8_OR(0x400*i + 0x274, 1);
596 MCHBAR8_AND(0x400*i + 0x24c, ~0x3);
Damien Zammit4b513a62015-08-20 00:37:05 +1000597
598 reg16 = 0;
Arthur Heymans638240e2017-12-25 18:14:46 +0100599 if (s->spd_type == DDR2) {
600 switch (s->selected_timings.mem_clk) {
601 default:
602 case MEM_CLOCK_667MHz:
603 reg16 = 0x99;
604 break;
605 case MEM_CLOCK_800MHz:
606 if (s->selected_timings.CAS == 5)
607 reg16 = 0x19a;
608 else if (s->selected_timings.CAS == 6)
609 reg16 = 0x9a;
610 break;
611 }
612 } else { /* DDR3 */
613 switch (s->selected_timings.mem_clk) {
614 default:
615 case MEM_CLOCK_800MHz:
616 case MEM_CLOCK_1066MHz:
617 reg16 = 1;
618 break;
619 case MEM_CLOCK_1333MHz:
620 reg16 = 2;
621 break;
622 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000623 }
Arthur Heymans638240e2017-12-25 18:14:46 +0100624
Damien Zammit4b513a62015-08-20 00:37:05 +1000625 reg16 &= 0x7;
626 reg16 += twl + 9;
627 reg16 <<= 10;
Felix Held432575c2018-07-29 18:09:30 +0200628 MCHBAR16_AND_OR(0x400*i + 0x24d, ~0x7c00, reg16);
629 MCHBAR8_AND_OR(0x400*i + 0x267, ~0x3f, 0x13);
630 MCHBAR8_AND_OR(0x400*i + 0x268, ~0xff, 0x4a);
Damien Zammit4b513a62015-08-20 00:37:05 +1000631
632 reg16 = (MCHBAR16(0x400*i + 0x269) & 0xc000) >> 2;
633 reg16 += 2 << 12;
634 reg16 |= (0x15 << 6) | 0x1f;
Felix Held432575c2018-07-29 18:09:30 +0200635 MCHBAR16_AND_OR(0x400*i + 0x26d, ~0x7fff, reg16);
Damien Zammit4b513a62015-08-20 00:37:05 +1000636
637 reg32 = (1 << 25) | (6 << 27);
Felix Held432575c2018-07-29 18:09:30 +0200638 MCHBAR32_AND_OR(0x400*i + 0x269, ~0xfa300000, reg32);
639 MCHBAR8_AND(0x400*i + 0x271, ~0x80);
640 MCHBAR8_AND(0x400*i + 0x274, ~0x6);
Angel Pons9d20c842021-01-13 12:39:37 +0100641 } /* END EACH POPULATED CHANNEL */
Damien Zammit4b513a62015-08-20 00:37:05 +1000642
643 reg16 = 0x1f << 5;
644 reg16 |= 0xe << 10;
Felix Held432575c2018-07-29 18:09:30 +0200645 MCHBAR16_AND_OR(0x125, ~0x3fe0, reg16);
646 MCHBAR16_AND_OR(0x127, ~0x7ff, 0x540);
647 MCHBAR8_OR(0x129, 0x1f);
648 MCHBAR8_OR(0x12c, 0xa0);
649 MCHBAR32_AND_OR(0x241, ~0x1ffff, 0x11);
650 MCHBAR32_AND_OR(0x641, ~0x1ffff, 0x11);
651 MCHBAR8_AND(0x246, ~0x10);
652 MCHBAR8_AND(0x646, ~0x10);
Damien Zammit4b513a62015-08-20 00:37:05 +1000653 MCHBAR32(0x120) = (2 << 29) | (1 << 28) | (1 << 23) | 0xd7f5f;
654 reg8 = (u8)((MCHBAR32(0x252) & 0x1e000) >> 13);
Felix Held432575c2018-07-29 18:09:30 +0200655 MCHBAR8_AND_OR(0x12d, ~0xf0, reg8 << 4);
Arthur Heymanseee4f6b2017-01-03 00:49:45 +0100656 reg8 = (u8)((MCHBAR32(0x258) & 0x1e0000) >> 17);
Felix Held432575c2018-07-29 18:09:30 +0200657 MCHBAR8_AND_OR(0x12d, ~0xf, reg8);
Damien Zammit4b513a62015-08-20 00:37:05 +1000658 MCHBAR8(0x12f) = 0x4c;
659 reg32 = (1 << 31) | (0x80 << 14) | (1 << 13) | (0xa << 9);
Arthur Heymans638240e2017-12-25 18:14:46 +0100660 if (s->spd_type == DDR3) {
661 MCHBAR8(0x114) = 0x42;
662 reg16 = (512 - MAX(5, s->selected_timings.tRFC + 10000
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200663 / ddr_to_ps[s->selected_timings.mem_clk]))
Arthur Heymans638240e2017-12-25 18:14:46 +0100664 / 2;
665 reg16 &= 0x1ff;
666 reg32 = (reg16 << 22) | (0x80 << 14) | (0xa << 9);
667 }
Felix Held432575c2018-07-29 18:09:30 +0200668 MCHBAR32_AND_OR(0x6c0, ~0xffffff00, reg32);
669 MCHBAR8_AND_OR(0x6c4, ~0x7, 0x2);
Damien Zammit4b513a62015-08-20 00:37:05 +1000670}
671
Arthur Heymansa2cc2312017-05-15 10:13:36 +0200672static void program_dll(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +1000673{
Arthur Heymans37689fa2017-05-17 14:07:10 +0200674 u8 i, j, r, reg8, clk, async = 0;
Damien Zammit4b513a62015-08-20 00:37:05 +1000675 u16 reg16 = 0;
676 u32 reg32 = 0;
Damien Zammit4b513a62015-08-20 00:37:05 +1000677
Arthur Heymans638240e2017-12-25 18:14:46 +0100678 const u8 rank2clken[8] = { 0x04, 0x01, 0x20, 0x08, 0x01, 0x04,
679 0x08, 0x10 };
680
Felix Held432575c2018-07-29 18:09:30 +0200681 MCHBAR16_AND_OR(0x180, ~0x7e06, 0xc04);
682 MCHBAR16_AND_OR(0x182, ~0x3ff, 0xc8);
683 MCHBAR16_AND_OR(0x18a, ~0x1f1f, 0x0f0f);
684 MCHBAR16_AND_OR(0x1b4, ~0x8020, 0x100);
685 MCHBAR8_AND_OR(0x194, ~0x77, 0x33);
Damien Zammit4b513a62015-08-20 00:37:05 +1000686 switch (s->selected_timings.mem_clk) {
687 default:
688 case MEM_CLOCK_667MHz:
Arthur Heymans638240e2017-12-25 18:14:46 +0100689 case MEM_CLOCK_1333MHz:
Damien Zammit4b513a62015-08-20 00:37:05 +1000690 reg16 = (0xa << 9) | 0xa;
691 break;
692 case MEM_CLOCK_800MHz:
693 reg16 = (0x9 << 9) | 0x9;
694 break;
Arthur Heymans638240e2017-12-25 18:14:46 +0100695 case MEM_CLOCK_1066MHz:
696 reg16 = (0x7 << 9) | 0x7;
697 break;
Damien Zammit4b513a62015-08-20 00:37:05 +1000698 }
Felix Held432575c2018-07-29 18:09:30 +0200699 MCHBAR16_AND_OR(0x19c, ~0x1e0f, reg16);
700 MCHBAR16_AND_OR(0x19c, ~0x2030, 0x2010);
Damien Zammit4b513a62015-08-20 00:37:05 +1000701 udelay(1);
Felix Held432575c2018-07-29 18:09:30 +0200702 MCHBAR16_AND(0x198, ~0x100);
Damien Zammit4b513a62015-08-20 00:37:05 +1000703
Felix Held432575c2018-07-29 18:09:30 +0200704 MCHBAR16_AND_OR(0x1c8, ~0x1f, 0xd);
Damien Zammit4b513a62015-08-20 00:37:05 +1000705
706 udelay(1);
Felix Held432575c2018-07-29 18:09:30 +0200707 MCHBAR8_AND(0x190, ~1);
Angel Pons9d20c842021-01-13 12:39:37 +0100708 udelay(1); /* 533ns */
Felix Held432575c2018-07-29 18:09:30 +0200709 MCHBAR32_AND(0x198, ~0x11554000);
Damien Zammit4b513a62015-08-20 00:37:05 +1000710 udelay(1);
Felix Held432575c2018-07-29 18:09:30 +0200711 MCHBAR32_AND(0x198, ~0x1455);
Damien Zammit4b513a62015-08-20 00:37:05 +1000712 udelay(1);
Felix Held432575c2018-07-29 18:09:30 +0200713 MCHBAR8_AND(0x583, ~0x1c);
714 MCHBAR8_AND(0x983, ~0x1c);
Angel Pons9d20c842021-01-13 12:39:37 +0100715 udelay(1); /* 533ns */
Felix Held432575c2018-07-29 18:09:30 +0200716 MCHBAR8_AND(0x583, ~0x3);
717 MCHBAR8_AND(0x983, ~0x3);
Angel Pons9d20c842021-01-13 12:39:37 +0100718 udelay(1); /* 533ns */
Damien Zammit4b513a62015-08-20 00:37:05 +1000719
Angel Pons9d20c842021-01-13 12:39:37 +0100720 /* ME related */
Felix Held432575c2018-07-29 18:09:30 +0200721 MCHBAR32_AND_OR(0x1a0, ~0x7ffffff,
722 s->spd_type == DDR2 ? 0x551803 : 0x555801);
Damien Zammit4b513a62015-08-20 00:37:05 +1000723
Felix Held432575c2018-07-29 18:09:30 +0200724 MCHBAR16_AND(0x1b4, ~0x800);
Arthur Heymans638240e2017-12-25 18:14:46 +0100725 if (s->spd_type == DDR2) {
Felix Held432575c2018-07-29 18:09:30 +0200726 MCHBAR8_OR(0x1a8, 0xf0);
Arthur Heymans638240e2017-12-25 18:14:46 +0100727 } else { /* DDR3 */
728 reg8 = 0x9; /* 0x9 << 4 ?? */
729 if (s->dimms[0].ranks == 2)
730 reg8 &= ~0x80;
731 if (s->dimms[3].ranks == 2)
732 reg8 &= ~0x10;
Felix Held432575c2018-07-29 18:09:30 +0200733 MCHBAR8_AND_OR(0x1a8, ~0xf0, reg8);
Arthur Heymans638240e2017-12-25 18:14:46 +0100734 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000735
736 FOR_EACH_CHANNEL(i) {
737 reg16 = 0;
Arthur Heymans638240e2017-12-25 18:14:46 +0100738 if ((s->spd_type == DDR3) && (i == 0))
739 reg16 = (0x3 << 12);
Felix Held3a2f9002018-07-29 18:51:22 +0200740 MCHBAR16_AND_OR(0x400*i + 0x59c, ~0x3000, reg16);
Damien Zammit4b513a62015-08-20 00:37:05 +1000741
742 reg32 = 0;
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100743 FOR_EACH_RANK_IN_CHANNEL(r) {
744 if (!RANK_IS_POPULATED(s->dimms, i, r))
745 reg32 |= 0x111 << r;
Damien Zammit4b513a62015-08-20 00:37:05 +1000746 }
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100747
Felix Held432575c2018-07-29 18:09:30 +0200748 MCHBAR32_AND_OR(0x400*i + 0x59c, ~0xfff, reg32);
749 MCHBAR8_AND(0x400*i + 0x594, ~1);
Damien Zammit4b513a62015-08-20 00:37:05 +1000750
Arthur Heymans638240e2017-12-25 18:14:46 +0100751 if (s->spd_type == DDR2) {
752 if (!CHANNEL_IS_POPULATED(s->dimms, i)) {
753 printk(BIOS_DEBUG,
754 "No dimms in channel %d\n", i);
755 reg8 = 0x3f;
756 } else if (ONLY_DIMMA_IS_POPULATED(s->dimms, i)) {
757 printk(BIOS_DEBUG,
758 "DimmA populated only in channel %d\n",
759 i);
760 reg8 = 0x38;
761 } else if (ONLY_DIMMB_IS_POPULATED(s->dimms, i)) {
762 printk(BIOS_DEBUG,
763 "DimmB populated only in channel %d\n",
764 i);
765 reg8 = 0x7;
766 } else if (BOTH_DIMMS_ARE_POPULATED(s->dimms, i)) {
767 printk(BIOS_DEBUG,
768 "Both dimms populated in channel %d\n",
769 i);
770 reg8 = 0;
771 } else {
772 die("Unhandled case\n");
773 }
Felix Held432575c2018-07-29 18:09:30 +0200774 MCHBAR32_AND_OR(0x400*i + 0x5a0, ~0x3f000000,
775 (u32)(reg8 << 24));
Arthur Heymans638240e2017-12-25 18:14:46 +0100776
777 } else { /* DDR3 */
778 FOR_EACH_POPULATED_RANK_IN_CHANNEL(s->dimms, i, r) {
Felix Held3a2f9002018-07-29 18:51:22 +0200779 MCHBAR8_AND(0x400 * i + 0x5a0 + 3,
780 ~rank2clken[r + i * 4]);
Arthur Heymans638240e2017-12-25 18:14:46 +0100781 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000782 }
Angel Pons9d20c842021-01-13 12:39:37 +0100783 } /* END EACH CHANNEL */
Damien Zammit4b513a62015-08-20 00:37:05 +1000784
Arthur Heymans638240e2017-12-25 18:14:46 +0100785 if (s->spd_type == DDR2) {
Felix Held432575c2018-07-29 18:09:30 +0200786 MCHBAR8_OR(0x1a8, 1);
787 MCHBAR8_AND(0x1a8, ~0x4);
Arthur Heymans638240e2017-12-25 18:14:46 +0100788 } else { /* DDR3 */
Felix Held432575c2018-07-29 18:09:30 +0200789 MCHBAR8_AND(0x1a8, ~1);
790 MCHBAR8_OR(0x1a8, 0x4);
Arthur Heymans638240e2017-12-25 18:14:46 +0100791 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000792
Angel Pons9d20c842021-01-13 12:39:37 +0100793 /* Update DLL timing */
Felix Held432575c2018-07-29 18:09:30 +0200794 MCHBAR8_AND(0x1a4, ~0x80);
795 MCHBAR8_OR(0x1a4, 0x40);
796 MCHBAR16_AND_OR(0x5f0, ~0x400, 0x400);
Damien Zammit4b513a62015-08-20 00:37:05 +1000797
Damien Zammit4b513a62015-08-20 00:37:05 +1000798 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Felix Held432575c2018-07-29 18:09:30 +0200799 MCHBAR16_AND_OR(0x400*i + 0x5f0, ~0x3fc, 0x3fc);
800 MCHBAR32_AND(0x400*i + 0x5fc, ~0xcccccccc);
801 MCHBAR8_AND_OR(0x400*i + 0x5d9, ~0xf0,
802 s->spd_type == DDR2 ? 0x70 : 0x60);
803 MCHBAR16_AND_OR(0x400*i + 0x590, ~0xffff,
804 s->spd_type == DDR2 ? 0x5555 : 0xa955);
Damien Zammit4b513a62015-08-20 00:37:05 +1000805 }
806
807 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Jonathan Neuschäfer7be74db2018-02-12 12:00:40 +0100808 const struct dll_setting *setting;
809
Elyes HAOUAS0ce41f12018-11-13 10:03:31 +0100810 switch (s->selected_timings.mem_clk) {
Arthur Heymans638240e2017-12-25 18:14:46 +0100811 default: /* Should not happen */
812 case MEM_CLOCK_667MHz:
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100813 setting = default_ddr2_667_ctrl;
Arthur Heymans638240e2017-12-25 18:14:46 +0100814 break;
815 case MEM_CLOCK_800MHz:
816 if (s->spd_type == DDR2)
817 setting = default_ddr2_800_ctrl;
818 else
819 setting = default_ddr3_800_ctrl[s->nmode - 1];
820 break;
821 case MEM_CLOCK_1066MHz:
822 setting = default_ddr3_1067_ctrl[s->nmode - 1];
823 break;
824 case MEM_CLOCK_1333MHz:
825 setting = default_ddr3_1333_ctrl[s->nmode - 1];
826 break;
827 }
Jonathan Neuschäfer7be74db2018-02-12 12:00:40 +0100828
829 clkset0(i, &setting[CLKSET0]);
830 clkset1(i, &setting[CLKSET1]);
831 ctrlset0(i, &setting[CTRL0]);
832 ctrlset1(i, &setting[CTRL1]);
833 ctrlset2(i, &setting[CTRL2]);
834 ctrlset3(i, &setting[CTRL3]);
835 cmdset(i, &setting[CMD]);
Damien Zammit4b513a62015-08-20 00:37:05 +1000836 }
837
Angel Pons9d20c842021-01-13 12:39:37 +0100838 /* XXX if not async mode */
Felix Held432575c2018-07-29 18:09:30 +0200839 MCHBAR16_AND(0x180, ~0x8200);
840 MCHBAR8_OR(0x180, 0x4);
Damien Zammit4b513a62015-08-20 00:37:05 +1000841 j = 0;
842 for (i = 0; i < 16; i++) {
Felix Held432575c2018-07-29 18:09:30 +0200843 MCHBAR8_AND_OR(0x1c8, ~0x1f, i);
844 MCHBAR8_OR(0x180, 0x10);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100845 while (MCHBAR8(0x180) & 0x10)
846 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000847 if (MCHBAR32(0x184) == 0xffffffff) {
848 j++;
849 if (j >= 2)
850 break;
851
852 if (s->selected_timings.mem_clk == MEM_CLOCK_667MHz) {
853 j = 2;
854 break;
855 }
856 } else {
857 j = 0;
858 }
859 }
860 if (i == 1 || ((i == 0) && s->selected_timings.mem_clk == MEM_CLOCK_667MHz)) {
861 j = 0;
862 i++;
863 for (; i < 16; i++) {
Felix Held432575c2018-07-29 18:09:30 +0200864 MCHBAR8_AND_OR(0x1c8, ~0x1f, i);
865 MCHBAR8_OR(0x180, 0x4);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100866 while (MCHBAR8(0x180) & 0x10)
867 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000868 if (MCHBAR32(0x184) == 0) {
869 i++;
870 break;
871 }
872 }
873 for (; i < 16; i++) {
Felix Held432575c2018-07-29 18:09:30 +0200874 MCHBAR8_AND_OR(0x1c8, ~0x1f, i);
875 MCHBAR8_OR(0x180, 0x10);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100876 while (MCHBAR8(0x180) & 0x10)
877 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000878 if (MCHBAR32(0x184) == 0xffffffff) {
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100879 j++;
Damien Zammit4b513a62015-08-20 00:37:05 +1000880 if (j >= 2)
881 break;
882 } else {
883 j = 0;
884 }
885 }
886 if (j < 2) {
Felix Held432575c2018-07-29 18:09:30 +0200887 MCHBAR8_AND(0x1c8, ~0x1f);
888 MCHBAR8_OR(0x180, 0x10);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100889 while (MCHBAR8(0x180) & 0x10)
890 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000891 j = 2;
892 }
893 }
894
895 if (j < 2) {
Felix Held432575c2018-07-29 18:09:30 +0200896 MCHBAR8_AND(0x1c8, ~0x1f);
Damien Zammit4b513a62015-08-20 00:37:05 +1000897 async = 1;
Angel Pons6b177942021-01-13 17:00:48 +0100898 printk(BIOS_NOTICE, "HMC failed, using async mode\n");
Damien Zammit4b513a62015-08-20 00:37:05 +1000899 }
900
Arthur Heymans638240e2017-12-25 18:14:46 +0100901 switch (s->selected_timings.mem_clk) {
902 case MEM_CLOCK_667MHz:
903 clk = 0x1a;
904 if (async != 1) {
905 if (s->selected_timings.fsb_clk == FSB_CLOCK_800MHz)
906 clk = 0x10;
Damien Zammit4b513a62015-08-20 00:37:05 +1000907 }
Arthur Heymans638240e2017-12-25 18:14:46 +0100908 break;
909 case MEM_CLOCK_800MHz:
910 case MEM_CLOCK_1066MHz:
911 if (async != 1)
912 clk = 0x10;
913 else
914 clk = 0x1a;
915 break;
916 case MEM_CLOCK_1333MHz:
917 clk = 0x18;
918 break;
919 default:
920 clk = 0x1a;
921 break;
Damien Zammit4b513a62015-08-20 00:37:05 +1000922 }
Arthur Heymans638240e2017-12-25 18:14:46 +0100923
Felix Held432575c2018-07-29 18:09:30 +0200924 MCHBAR8_AND(0x180, ~0x80);
Damien Zammit4b513a62015-08-20 00:37:05 +1000925
Arthur Heymans638240e2017-12-25 18:14:46 +0100926 if ((s->spd_type == DDR3 && s->selected_timings.mem_clk == MEM_CLOCK_1066MHz)
927 || (s->spd_type == DDR2 && s->selected_timings.fsb_clk == FSB_CLOCK_800MHz
928 && s->selected_timings.mem_clk == MEM_CLOCK_667MHz)) {
Arthur Heymans24798a12017-08-13 16:02:09 +0200929 i = MCHBAR8(0x1c8) & 0xf;
Arthur Heymans638240e2017-12-25 18:14:46 +0100930 if (s->spd_type == DDR2)
931 i = (i + 10) % 14;
932 else /* DDR3 */
933 i = (i + 3) % 12;
Felix Held432575c2018-07-29 18:09:30 +0200934 MCHBAR8_AND_OR(0x1c8, ~0x1f, i);
935 MCHBAR8_OR(0x180, 0x10);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100936 while (MCHBAR8(0x180) & 0x10)
937 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000938 }
939
940 reg8 = MCHBAR8(0x188) & ~1;
941 MCHBAR8(0x188) = reg8;
942 reg8 &= ~0x3e;
943 reg8 |= clk;
944 MCHBAR8(0x188) = reg8;
945 reg8 |= 1;
946 MCHBAR8(0x188) = reg8;
947
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100948 if (s->selected_timings.mem_clk == MEM_CLOCK_1333MHz)
Felix Held432575c2018-07-29 18:09:30 +0200949 MCHBAR8_OR(0x18c, 1);
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100950}
Damien Zammit4b513a62015-08-20 00:37:05 +1000951
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100952static void select_default_dq_dqs_settings(struct sysinfo *s)
953{
954 int ch, lane;
955
Arthur Heymans276049f2017-11-05 05:56:34 +0100956 FOR_EACH_POPULATED_CHANNEL_AND_BYTELANE(s->dimms, ch, lane) {
957 switch (s->selected_timings.mem_clk) {
958 case MEM_CLOCK_667MHz:
959 memcpy(s->dqs_settings[ch],
960 default_ddr2_667_dqs,
961 sizeof(s->dqs_settings[ch]));
962 memcpy(s->dq_settings[ch],
963 default_ddr2_667_dq,
964 sizeof(s->dq_settings[ch]));
965 s->rt_dqs[ch][lane].tap = 7;
966 s->rt_dqs[ch][lane].pi = 2;
967 break;
968 case MEM_CLOCK_800MHz:
969 if (s->spd_type == DDR2) {
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100970 memcpy(s->dqs_settings[ch],
Arthur Heymans276049f2017-11-05 05:56:34 +0100971 default_ddr2_800_dqs,
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100972 sizeof(s->dqs_settings[ch]));
973 memcpy(s->dq_settings[ch],
Arthur Heymans276049f2017-11-05 05:56:34 +0100974 default_ddr2_800_dq,
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100975 sizeof(s->dq_settings[ch]));
976 s->rt_dqs[ch][lane].tap = 7;
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100977 s->rt_dqs[ch][lane].pi = 0;
Arthur Heymans276049f2017-11-05 05:56:34 +0100978 } else { /* DDR3 */
Arthur Heymans638240e2017-12-25 18:14:46 +0100979 memcpy(s->dqs_settings[ch],
980 default_ddr3_800_dqs[s->nmode - 1],
981 sizeof(s->dqs_settings[ch]));
982 memcpy(s->dq_settings[ch],
983 default_ddr3_800_dq[s->nmode - 1],
984 sizeof(s->dq_settings[ch]));
Arthur Heymans276049f2017-11-05 05:56:34 +0100985 s->rt_dqs[ch][lane].tap = 6;
Arthur Heymans638240e2017-12-25 18:14:46 +0100986 s->rt_dqs[ch][lane].pi = 3;
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100987 }
Arthur Heymans276049f2017-11-05 05:56:34 +0100988 break;
989 case MEM_CLOCK_1066MHz:
Arthur Heymans638240e2017-12-25 18:14:46 +0100990 memcpy(s->dqs_settings[ch],
991 default_ddr3_1067_dqs[s->nmode - 1],
992 sizeof(s->dqs_settings[ch]));
993 memcpy(s->dq_settings[ch],
994 default_ddr3_1067_dq[s->nmode - 1],
995 sizeof(s->dq_settings[ch]));
Arthur Heymans276049f2017-11-05 05:56:34 +0100996 s->rt_dqs[ch][lane].tap = 5;
Arthur Heymans638240e2017-12-25 18:14:46 +0100997 s->rt_dqs[ch][lane].pi = 3;
Arthur Heymans276049f2017-11-05 05:56:34 +0100998 break;
999 case MEM_CLOCK_1333MHz:
Arthur Heymans638240e2017-12-25 18:14:46 +01001000 memcpy(s->dqs_settings[ch],
1001 default_ddr3_1333_dqs[s->nmode - 1],
1002 sizeof(s->dqs_settings[ch]));
1003 memcpy(s->dq_settings[ch],
1004 default_ddr3_1333_dq[s->nmode - 1],
1005 sizeof(s->dq_settings[ch]));
Arthur Heymans276049f2017-11-05 05:56:34 +01001006 s->rt_dqs[ch][lane].tap = 7;
1007 s->rt_dqs[ch][lane].pi = 0;
1008 break;
1009 default: /* not supported */
1010 break;
Damien Zammit4b513a62015-08-20 00:37:05 +10001011 }
1012 }
Arthur Heymans0bf87de2017-11-04 06:15:05 +01001013}
Damien Zammit4b513a62015-08-20 00:37:05 +10001014
Arthur Heymans0bf87de2017-11-04 06:15:05 +01001015/*
1016 * It looks like only the RT DQS register for the first rank
1017 * is used for all ranks. Just set all the 'unused' RT DQS registers
1018 * to the same as rank 0, out of precaution.
1019 */
1020static void set_all_dq_dqs_dll_settings(struct sysinfo *s)
1021{
Angel Pons9d20c842021-01-13 12:39:37 +01001022 /* Program DQ/DQS dll settings */
Arthur Heymans0bf87de2017-11-04 06:15:05 +01001023 int ch, lane, rank;
1024
1025 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Arthur Heymans276049f2017-11-05 05:56:34 +01001026 FOR_EACH_BYTELANE(lane) {
Arthur Heymans0bf87de2017-11-04 06:15:05 +01001027 FOR_EACH_RANK_IN_CHANNEL(rank) {
1028 rt_set_dqs(ch, lane, rank,
1029 &s->rt_dqs[ch][lane]);
1030 }
1031 dqsset(ch, lane, &s->dqs_settings[ch][lane]);
1032 dqset(ch, lane, &s->dq_settings[ch][lane]);
Damien Zammit4b513a62015-08-20 00:37:05 +10001033 }
1034 }
1035}
1036
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001037static void prog_rcomp(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001038{
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001039 u8 i, j, k, reg8;
1040 const u32 ddr2_x32a[8] = { 0x04040404, 0x06050505, 0x09090807, 0x0D0C0B0A,
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001041 0x04040404, 0x08070605, 0x0C0B0A09, 0x100F0E0D };
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001042 const u16 ddr2_x378[6] = { 0, 0xAAAA, 0x7777, 0x7777, 0x7777, 0x7777 };
1043 const u32 ddr2_x382[6] = { 0, 0x02020202, 0x02020202, 0x02020202, 0x04030303, 0x04030303 };
1044 const u32 ddr2_x386[6] = { 0, 0x03020202, 0x03020202, 0x03020202, 0x05040404, 0x05040404 };
1045 const u32 ddr2_x38a[6] = { 0, 0x04040303, 0x04040303, 0x04040303, 0x07070605, 0x07070605 };
1046 const u32 ddr2_x38e[6] = { 0, 0x06060505, 0x06060505, 0x06060505, 0x09090808, 0x09090808 };
1047 const u32 ddr2_x392[6] = { 0, 0x02020202, 0x02020202, 0x02020202, 0x03030202, 0x03030202 };
1048 const u32 ddr2_x396[6] = { 0, 0x03030202, 0x03030202, 0x03030202, 0x05040303, 0x05040303 };
1049 const u32 ddr2_x39a[6] = { 0, 0x04040403, 0x04040403, 0x04040403, 0x07070605, 0x07070605 };
1050 const u32 ddr2_x39e[6] = { 0, 0x06060505, 0x06060505, 0x06060505, 0x08080808, 0x08080808 };
1051
1052 const u32 ddr3_x32a[8] = {0x06060606, 0x06060606, 0x0b090807, 0x12110f0d,
1053 0x06060606, 0x08070606, 0x0d0b0a09, 0x16161511};
1054 const u16 ddr3_x378[6] = {0, 0xbbbb, 0x6666, 0x6666, 0x6666, 0x6666};
1055 const u32 ddr3_x382[6] = {0, 0x05050505, 0x04040404, 0x04040404, 0x34343434, 0x34343434};
1056 const u32 ddr3_x386[6] = {0, 0x05050505, 0x04040404, 0x04040404, 0x34343434, 0x34343434};
1057 const u32 ddr3_x38a[6] = {0, 0x06060605, 0x07060504, 0x07060504, 0x34343434, 0x34343434};
1058 const u32 ddr3_x38e[6] = {0, 0x09080707, 0x09090808, 0x09090808, 0x34343434, 0x34343434};
1059 const u32 ddr3_x392[6] = {0, 0x05050505, 0x04040404, 0x04040404, 0x34343434, 0x34343434};
1060 const u32 ddr3_x396[6] = {0, 0x05050505, 0x04040404, 0x04040404, 0x34343434, 0x34343434};
1061 const u32 ddr3_x39a[6] = {0, 0x07060606, 0x08070605, 0x08070605, 0x34343434, 0x34343434};
1062 const u32 ddr3_x39e[6] = {0, 0x09090807, 0x0b0b0a09, 0x0b0b0a09, 0x34343434, 0x34343434};
1063
1064 const u16 *x378;
1065 const u32 *x32a, *x382, *x386, *x38a, *x38e;
1066 const u32 *x392, *x396, *x39a, *x39e;
1067
1068 const u16 addr[6] = { 0x31c, 0x374, 0x3a2, 0x3d0, 0x3fe, 0x42c };
Damien Zammit4b513a62015-08-20 00:37:05 +10001069 u8 bit[6] = { 0, 0, 1, 1, 0, 0 };
1070
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001071 if (s->spd_type == DDR2) {
1072 x32a = ddr2_x32a;
1073 x378 = ddr2_x378;
1074 x382 = ddr2_x382;
1075 x386 = ddr2_x386;
1076 x38a = ddr2_x38a;
1077 x38e = ddr2_x38e;
1078 x392 = ddr2_x392;
1079 x396 = ddr2_x396;
1080 x39a = ddr2_x39a;
1081 x39e = ddr2_x39e;
1082 } else { /* DDR3 */
1083 x32a = ddr3_x32a;
1084 x378 = ddr3_x378;
1085 x382 = ddr3_x382;
1086 x386 = ddr3_x386;
1087 x38a = ddr3_x38a;
1088 x38e = ddr3_x38e;
1089 x392 = ddr3_x392;
1090 x396 = ddr3_x396;
1091 x39a = ddr3_x39a;
1092 x39e = ddr3_x39e;
1093 }
1094
Damien Zammit4b513a62015-08-20 00:37:05 +10001095 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Angel Pons244391a2021-01-13 17:28:31 +01001096 /* RCOMP data group is special, program it separately */
1097 MCHBAR32_AND_OR(0x400*i + 0x31c, ~0xff000,
1098 0xaa000);
1099 MCHBAR16_AND_OR(0x400*i + 0x320, ~0xffff,
1100 0x6666);
1101 for (k = 0; k < 8; k++) {
1102 MCHBAR32_AND_OR(0x400*i + 0x31c +
1103 0xe + (k << 2),
1104 ~0x3f3f3f3f, x32a[k]);
1105 MCHBAR32_AND_OR(0x400*i + 0x31c +
1106 0x2e + (k << 2),
1107 ~0x3f3f3f3f, x32a[k]);
1108 }
1109 MCHBAR8_AND_OR(0x400*i + 0x31c, ~1, 0);
1110
1111 /* Now program the other RCOMP groups */
Damien Zammit4b513a62015-08-20 00:37:05 +10001112 for (j = 0; j < 6; j++) {
1113 if (j == 0) {
Angel Pons244391a2021-01-13 17:28:31 +01001114 continue;
Damien Zammit4b513a62015-08-20 00:37:05 +10001115 } else {
Felix Held3a2f9002018-07-29 18:51:22 +02001116 MCHBAR16_AND_OR(0x400*i + addr[j],
1117 ~0xf000, 0xa000);
1118 MCHBAR16_AND_OR(0x400*i + addr[j] + 4,
1119 ~0xffff, x378[j]);
1120 MCHBAR32_AND_OR(0x400*i + addr[j] + 0xe,
1121 ~0x3f3f3f3f, x382[j]);
1122 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x12,
1123 ~0x3f3f3f3f, x386[j]);
1124 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x16,
1125 ~0x3f3f3f3f, x38a[j]);
1126 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x1a,
1127 ~0x3f3f3f3f, x38e[j]);
1128 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x1e,
1129 ~0x3f3f3f3f, x392[j]);
1130 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x22,
1131 ~0x3f3f3f3f, x396[j]);
1132 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x26,
1133 ~0x3f3f3f3f, x39a[j]);
1134 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x2a,
1135 ~0x3f3f3f3f, x39e[j]);
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001136 }
Angel Pons244391a2021-01-13 17:28:31 +01001137
1138 /* Override command group strength multiplier */
Felix Held3a2f9002018-07-29 18:51:22 +02001139 if (s->spd_type == DDR3 &&
1140 BOTH_DIMMS_ARE_POPULATED(s->dimms, i)) {
1141 MCHBAR16_AND_OR(0x378 + 0x400 * i,
1142 ~0xffff, 0xcccc);
Damien Zammit4b513a62015-08-20 00:37:05 +10001143 }
Felix Held3a2f9002018-07-29 18:51:22 +02001144 MCHBAR8_AND_OR(0x400*i + addr[j], ~1, bit[j]);
Damien Zammit4b513a62015-08-20 00:37:05 +10001145 }
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001146 reg8 = (s->spd_type == DDR2) ? 0x12 : 0x36;
Felix Held432575c2018-07-29 18:09:30 +02001147 MCHBAR8_AND_OR(0x400*i + 0x45a, ~0x3f, reg8);
1148 MCHBAR8_AND_OR(0x400*i + 0x45e, ~0x3f, reg8);
1149 MCHBAR8_AND_OR(0x400*i + 0x462, ~0x3f, reg8);
1150 MCHBAR8_AND_OR(0x400*i + 0x466, ~0x3f, reg8);
Angel Pons9d20c842021-01-13 12:39:37 +01001151 } /* END EACH POPULATED CHANNEL */
Damien Zammit4b513a62015-08-20 00:37:05 +10001152
Felix Held432575c2018-07-29 18:09:30 +02001153 MCHBAR32_AND_OR(0x134, ~0x63c00, 0x63c00);
1154 MCHBAR16_AND_OR(0x174, ~0x63ff, 0x63ff);
Damien Zammit4b513a62015-08-20 00:37:05 +10001155 MCHBAR16(0x178) = 0x0135;
Felix Held432575c2018-07-29 18:09:30 +02001156 MCHBAR32_AND_OR(0x130, ~0x7bdffe0, 0x7a9ffa0);
Damien Zammit4b513a62015-08-20 00:37:05 +10001157
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001158 if (!CHANNEL_IS_POPULATED(s->dimms, 0))
Felix Held432575c2018-07-29 18:09:30 +02001159 MCHBAR32_AND(0x130, ~(1 << 27));
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001160 if (!CHANNEL_IS_POPULATED(s->dimms, 1))
Felix Held432575c2018-07-29 18:09:30 +02001161 MCHBAR32_AND(0x130, ~(1 << 28));
Damien Zammit4b513a62015-08-20 00:37:05 +10001162
Felix Held432575c2018-07-29 18:09:30 +02001163 MCHBAR8_OR(0x130, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001164}
1165
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001166static void program_odt(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001167{
1168 u8 i;
Arthur Heymanse6cc21e2017-05-15 10:43:20 +02001169 static u16 ddr2_odt[16][2] = {
Angel Pons9d20c842021-01-13 12:39:37 +01001170 { 0x0000, 0x0000 }, /* NC_NC */
1171 { 0x0000, 0x0001 }, /* x8SS_NC */
1172 { 0x0000, 0x0011 }, /* x8DS_NC */
1173 { 0x0000, 0x0001 }, /* x16SS_NC */
1174 { 0x0004, 0x0000 }, /* NC_x8SS */
1175 { 0x0101, 0x0404 }, /* x8SS_x8SS */
1176 { 0x0101, 0x4444 }, /* x8DS_x8SS */
1177 { 0x0101, 0x0404 }, /* x16SS_x8SS */
1178 { 0x0044, 0x0000 }, /* NC_x8DS */
1179 { 0x1111, 0x0404 }, /* x8SS_x8DS */
1180 { 0x1111, 0x4444 }, /* x8DS_x8DS */
1181 { 0x1111, 0x0404 }, /* x16SS_x8DS */
1182 { 0x0004, 0x0000 }, /* NC_x16SS */
1183 { 0x0101, 0x0404 }, /* x8SS_x16SS */
1184 { 0x0101, 0x4444 }, /* x8DS_x16SS */
1185 { 0x0101, 0x0404 }, /* x16SS_x16SS */
Damien Zammit4b513a62015-08-20 00:37:05 +10001186 };
1187
Arthur Heymanse6cc21e2017-05-15 10:43:20 +02001188 static const u16 ddr3_odt[16][2] = {
Angel Pons9d20c842021-01-13 12:39:37 +01001189 { 0x0000, 0x0000 }, /* NC_NC */
1190 { 0x0000, 0x0001 }, /* x8SS_NC */
1191 { 0x0000, 0x0021 }, /* x8DS_NC */
1192 { 0x0000, 0x0001 }, /* x16SS_NC */
1193 { 0x0004, 0x0000 }, /* NC_x8SS */
1194 { 0x0105, 0x0405 }, /* x8SS_x8SS */
1195 { 0x0105, 0x4465 }, /* x8DS_x8SS */
1196 { 0x0105, 0x0405 }, /* x16SS_x8SS */
1197 { 0x0084, 0x0000 }, /* NC_x8DS */
1198 { 0x1195, 0x0405 }, /* x8SS_x8DS */
1199 { 0x1195, 0x4465 }, /* x8DS_x8DS */
1200 { 0x1195, 0x0405 }, /* x16SS_x8DS */
1201 { 0x0004, 0x0000 }, /* NC_x16SS */
1202 { 0x0105, 0x0405 }, /* x8SS_x16SS */
1203 { 0x0105, 0x4465 }, /* x8DS_x16SS */
1204 { 0x0105, 0x0405 }, /* x16SS_x16SS */
Arthur Heymanse6cc21e2017-05-15 10:43:20 +02001205 };
1206
Damien Zammit4b513a62015-08-20 00:37:05 +10001207 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Arthur Heymanse6cc21e2017-05-15 10:43:20 +02001208 if (s->spd_type == DDR2) {
1209 MCHBAR16(0x400 * i + 0x298) =
1210 ddr2_odt[s->dimm_config[i]][1];
1211 MCHBAR16(0x400 * i + 0x294) =
1212 ddr2_odt[s->dimm_config[i]][0];
1213 } else {
1214 MCHBAR16(0x400 * i + 0x298) =
1215 ddr3_odt[s->dimm_config[i]][1];
1216 MCHBAR16(0x400 * i + 0x294) =
1217 ddr3_odt[s->dimm_config[i]][0];
1218 }
1219 u16 reg16 = MCHBAR16(0x400*i + 0x29c);
1220 reg16 &= ~0xfff;
1221 reg16 |= (s->spd_type == DDR2 ? 0x66b : 0x778);
1222 MCHBAR16(0x400*i + 0x29c) = reg16;
Felix Held3a2f9002018-07-29 18:51:22 +02001223 MCHBAR32_AND_OR(0x400*i + 0x260, ~0x70e3c00, 0x3063c00);
Damien Zammit4b513a62015-08-20 00:37:05 +10001224 }
1225}
1226
Arthur Heymans1994e4482017-11-04 07:52:23 +01001227static void pre_jedec_memory_map(void)
1228{
1229 /*
1230 * Configure the memory mapping in stacked mode (channel 1 being mapped
1231 * above channel 0) and with 128M per rank.
1232 * This simplifies dram trainings a lot since those need a test address.
1233 *
1234 * +-------------+ => 0
1235 * | ch 0, rank 0|
1236 * +-------------+ => 0x8000000 (128M)
1237 * | ch 0, rank 1|
1238 * +-------------+ => 0x10000000 (256M)
1239 * | ch 0, rank 2|
1240 * +-------------+ => 0x18000000 (384M)
1241 * | ch 0, rank 3|
1242 * +-------------+ => 0x20000000 (512M)
1243 * | ch 1, rank 0|
1244 * +-------------+ => 0x28000000 (640M)
1245 * | ch 1, rank 1|
1246 * +-------------+ => 0x30000000 (768M)
1247 * | ch 1, rank 2|
1248 * +-------------+ => 0x38000000 (896M)
1249 * | ch 1, rank 3|
1250 * +-------------+
1251 *
1252 * After all trainings are done this is set to the real values specified
1253 * by the SPD.
1254 */
1255 /* Set rank 0-3 populated */
Felix Held432575c2018-07-29 18:09:30 +02001256 MCHBAR32_AND_OR(C0CKECTRL, ~1, 0xf00000);
1257 MCHBAR32_AND_OR(C1CKECTRL, ~1, 0xf00000);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001258 /* Set size of each rank to 128M */
1259 MCHBAR16(C0DRA01) = 0x0101;
1260 MCHBAR16(C0DRA23) = 0x0101;
1261 MCHBAR16(C1DRA01) = 0x0101;
1262 MCHBAR16(C1DRA23) = 0x0101;
1263 MCHBAR16(C0DRB0) = 0x0002;
1264 MCHBAR16(C0DRB1) = 0x0004;
1265 MCHBAR16(C0DRB2) = 0x0006;
1266 MCHBAR16(C0DRB3) = 0x0008;
1267 MCHBAR16(C1DRB0) = 0x0002;
1268 MCHBAR16(C1DRB1) = 0x0004;
1269 MCHBAR16(C1DRB2) = 0x0006;
Arthur Heymans0602ce62018-05-26 14:44:42 +02001270 /* In stacked mode the last present rank on ch1 needs to have its
1271 size doubled in c1drbx */
Arthur Heymans1994e4482017-11-04 07:52:23 +01001272 MCHBAR16(C1DRB3) = 0x0010;
Felix Held432575c2018-07-29 18:09:30 +02001273 MCHBAR8_OR(0x111, STACKED_MEM);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001274 MCHBAR32(0x104) = 0;
1275 MCHBAR16(0x102) = 0x400;
1276 MCHBAR8(0x110) = (2 << 5) | (3 << 3);
1277 MCHBAR16(0x10e) = 0;
1278 MCHBAR32(0x108) = 0;
Angel Ponsd1c590a2020-08-03 16:01:39 +02001279 pci_write_config16(HOST_BRIDGE, D0F0_TOLUD, 0x4000);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001280 /* TOM(64M unit) = 1G = TOTAL_CHANNELS * RANKS_PER_CHANNEL * 128M */
Angel Ponsd1c590a2020-08-03 16:01:39 +02001281 pci_write_config16(HOST_BRIDGE, D0F0_TOM, 0x10);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001282 /* TOUUD(1M unit) = 1G = TOTAL_CHANNELS * RANKS_PER_CHANNEL * 128M */
Angel Ponsd1c590a2020-08-03 16:01:39 +02001283 pci_write_config16(HOST_BRIDGE, D0F0_TOUUD, 0x0400);
1284 pci_write_config32(HOST_BRIDGE, D0F0_GBSM, 0x40000000);
1285 pci_write_config32(HOST_BRIDGE, D0F0_BGSM, 0x40000000);
1286 pci_write_config32(HOST_BRIDGE, D0F0_TSEG, 0x40000000);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001287}
1288
1289u32 test_address(int channel, int rank)
1290{
1291 ASSERT(channel <= 1 && rank < 4);
1292 return channel * 512 * MiB + rank * 128 * MiB;
1293}
1294
Arthur Heymansf1287262017-12-25 18:30:01 +01001295/* DDR3 Rank1 Address mirror
Angel Pons9d20c842021-01-13 12:39:37 +01001296 swap the following pins:
1297 A3<->A4, A5<->A6, A7<->A8, BA0<->BA1 */
Arthur Heymansf1287262017-12-25 18:30:01 +01001298static u32 mirror_shift_bit(const u32 data, u8 bit)
1299{
1300 u32 temp0 = data, temp1 = data;
1301 temp0 &= 1 << bit;
1302 temp0 <<= 1;
1303 temp1 &= 1 << (bit + 1);
1304 temp1 >>= 1;
1305 return (data & ~(3 << bit)) | temp0 | temp1;
1306}
1307
Arthur Heymansb5170c32017-12-25 20:13:28 +01001308void send_jedec_cmd(const struct sysinfo *s, u8 r, u8 ch, u8 cmd, u32 val)
Damien Zammit4b513a62015-08-20 00:37:05 +10001309{
Arthur Heymans1994e4482017-11-04 07:52:23 +01001310 u32 addr = test_address(ch, r);
Arthur Heymansf1287262017-12-25 18:30:01 +01001311 u8 data8 = cmd;
1312 u32 data32;
Damien Zammit4b513a62015-08-20 00:37:05 +10001313
Arthur Heymansf1287262017-12-25 18:30:01 +01001314 if (s->spd_type == DDR3 && (r & 1)
1315 && s->dimms[ch * 2 + (r >> 1)].mirrored) {
1316 data8 = (u8)mirror_shift_bit(data8, 4);
1317 }
1318
Felix Held432575c2018-07-29 18:09:30 +02001319 MCHBAR8_AND_OR(0x271, ~0x3e, data8);
1320 MCHBAR8_AND_OR(0x671, ~0x3e, data8);
Arthur Heymansf1287262017-12-25 18:30:01 +01001321 data32 = val;
1322 if (s->spd_type == DDR3 && (r & 1)
1323 && s->dimms[ch * 2 + (r >> 1)].mirrored) {
1324 data32 = mirror_shift_bit(data32, 3);
1325 data32 = mirror_shift_bit(data32, 5);
1326 data32 = mirror_shift_bit(data32, 7);
1327 }
1328 data32 <<= 3;
1329
Elyes HAOUASc53665c2019-05-22 20:06:30 +02001330 read32((void *)((data32 | addr)));
Damien Zammit4b513a62015-08-20 00:37:05 +10001331 udelay(10);
Felix Held432575c2018-07-29 18:09:30 +02001332 MCHBAR8_AND_OR(0x271, ~0x3e, NORMALOP_CMD);
1333 MCHBAR8_AND_OR(0x671, ~0x3e, NORMALOP_CMD);
Damien Zammit4b513a62015-08-20 00:37:05 +10001334}
1335
1336static void jedec_ddr2(struct sysinfo *s)
1337{
1338 u8 i;
1339 u16 mrsval, ch, r, v;
1340
1341 u8 odt[16][4] = {
1342 {0x00, 0x00, 0x00, 0x00},
1343 {0x01, 0x00, 0x00, 0x00},
1344 {0x01, 0x01, 0x00, 0x00},
1345 {0x01, 0x00, 0x00, 0x00},
1346 {0x00, 0x00, 0x01, 0x00},
1347 {0x11, 0x00, 0x11, 0x00},
1348 {0x11, 0x11, 0x11, 0x00},
1349 {0x11, 0x00, 0x11, 0x00},
1350 {0x00, 0x00, 0x01, 0x01},
1351 {0x11, 0x00, 0x11, 0x11},
1352 {0x11, 0x11, 0x11, 0x11},
1353 {0x11, 0x00, 0x11, 0x11},
1354 {0x00, 0x00, 0x01, 0x00},
1355 {0x11, 0x00, 0x11, 0x00},
1356 {0x11, 0x11, 0x11, 0x00},
1357 {0x11, 0x00, 0x11, 0x00}
1358 };
1359
1360 u16 jedec[12][2] = {
1361 {NOP_CMD, 0x0},
1362 {PRECHARGE_CMD, 0x0},
1363 {EMRS2_CMD, 0x0},
1364 {EMRS3_CMD, 0x0},
1365 {EMRS1_CMD, 0x0},
Angel Pons9d20c842021-01-13 12:39:37 +01001366 {MRS_CMD, 0x100}, /* DLL Reset */
Damien Zammit4b513a62015-08-20 00:37:05 +10001367 {PRECHARGE_CMD, 0x0},
1368 {CBR_CMD, 0x0},
1369 {CBR_CMD, 0x0},
Angel Pons9d20c842021-01-13 12:39:37 +01001370 {MRS_CMD, 0x0}, /* DLL out of reset */
1371 {EMRS1_CMD, 0x380}, /* OCD calib default */
Damien Zammit4b513a62015-08-20 00:37:05 +10001372 {EMRS1_CMD, 0x0}
1373 };
1374
1375 mrsval = (s->selected_timings.CAS << 4) | ((s->selected_timings.tWR - 1) << 9) | 0xb;
1376
1377 printk(BIOS_DEBUG, "MRS...\n");
1378
1379 udelay(200);
1380
1381 FOR_EACH_POPULATED_RANK(s->dimms, ch, r) {
1382 printk(BIOS_DEBUG, "CH%d: Found Rank %d\n", ch, r);
1383 for (i = 0; i < 12; i++) {
1384 v = jedec[i][1];
1385 switch (jedec[i][0]) {
1386 case EMRS1_CMD:
1387 v |= (odt[s->dimm_config[ch]][r] << 2);
1388 break;
1389 case MRS_CMD:
1390 v |= mrsval;
1391 break;
1392 default:
1393 break;
1394 }
Arthur Heymansf1287262017-12-25 18:30:01 +01001395 send_jedec_cmd(s, r, ch, jedec[i][0], v);
Damien Zammit4b513a62015-08-20 00:37:05 +10001396 udelay(1);
Arthur Heymanscfa2eaa2017-03-20 16:32:07 +01001397 printk(RAM_SPEW, "Jedec step %d\n", i);
Damien Zammit4b513a62015-08-20 00:37:05 +10001398 }
1399 }
1400 printk(BIOS_DEBUG, "MRS done\n");
1401}
1402
Arthur Heymansf1287262017-12-25 18:30:01 +01001403static void jedec_ddr3(struct sysinfo *s)
1404{
1405 int ch, r, dimmconfig, cmd, ddr3_freq;
1406
1407 u8 ddr3_emrs2_rtt_wr_config[16][4] = { /* [config][Rank] */
1408 {0, 0, 0, 0}, /* NC_NC */
1409 {0, 0, 0, 0}, /* x8ss_NC */
1410 {0, 0, 0, 0}, /* x8ds_NC */
1411 {0, 0, 0, 0}, /* x16ss_NC */
1412 {0, 0, 0, 0}, /* NC_x8ss */
1413 {2, 0, 2, 0}, /* x8ss_x8ss */
1414 {2, 2, 2, 0}, /* x8ds_x8ss */
1415 {2, 0, 2, 0}, /* x16ss_x8ss */
1416 {0, 0, 0, 0}, /* NC_x8ss */
1417 {2, 0, 2, 2}, /* x8ss_x8ds */
1418 {2, 2, 2, 2}, /* x8ds_x8ds */
1419 {2, 0, 2, 2}, /* x16ss_x8ds */
1420 {0, 0, 0, 0}, /* NC_x16ss */
1421 {2, 0, 2, 0}, /* x8ss_x16ss */
1422 {2, 2, 2, 0}, /* x8ds_x16ss */
1423 {2, 0, 2, 0}, /* x16ss_x16ss */
1424 };
1425
1426 printk(BIOS_DEBUG, "MRS...\n");
1427
1428 ddr3_freq = s->selected_timings.mem_clk - MEM_CLOCK_800MHz;
1429 FOR_EACH_POPULATED_RANK(s->dimms, ch, r) {
1430 printk(BIOS_DEBUG, "CH%d: Found Rank %d\n", ch, r);
1431 send_jedec_cmd(s, r, ch, NOP_CMD, 0);
1432 udelay(200);
1433 dimmconfig = s->dimm_config[ch];
1434 cmd = ddr3_freq << 3; /* actually twl - 5 which is same */
1435 cmd |= ddr3_emrs2_rtt_wr_config[dimmconfig][r] << 9;
1436 send_jedec_cmd(s, r, ch, EMRS2_CMD, cmd);
1437 send_jedec_cmd(s, r, ch, EMRS3_CMD, 0);
1438 cmd = ddr3_emrs1_rtt_nom_config[dimmconfig][r] << 2;
1439 /* Hardcode output drive strength to 34 Ohm / RZQ/7 (why??) */
1440 cmd |= (1 << 1);
1441 send_jedec_cmd(s, r, ch, EMRS1_CMD, cmd);
1442 /* Burst type interleaved, burst length 8, Reset DLL,
Angel Pons9d20c842021-01-13 12:39:37 +01001443 Precharge PD: DLL on */
Arthur Heymansf1287262017-12-25 18:30:01 +01001444 send_jedec_cmd(s, r, ch, MRS_CMD, (1 << 3) | (1 << 8)
1445 | (1 << 12) | ((s->selected_timings.CAS - 4) << 4)
1446 | ((s->selected_timings.tWR - 4) << 9));
1447 send_jedec_cmd(s, r, ch, ZQCAL_CMD, (1 << 10));
1448 }
1449 printk(BIOS_DEBUG, "MRS done\n");
1450}
1451
Arthur Heymansadc571a2017-09-25 09:40:54 +02001452static void sdram_recover_receive_enable(const struct sysinfo *s)
Arthur Heymans97e13d82016-11-30 18:40:38 +01001453{
Arthur Heymans97e13d82016-11-30 18:40:38 +01001454 u32 reg32;
Arthur Heymansadc571a2017-09-25 09:40:54 +02001455 u16 medium, coarse_offset;
1456 u8 pi_tap;
1457 int lane, channel;
Arthur Heymans97e13d82016-11-30 18:40:38 +01001458
Arthur Heymansadc571a2017-09-25 09:40:54 +02001459 FOR_EACH_POPULATED_CHANNEL(s->dimms, channel) {
1460 medium = 0;
1461 coarse_offset = 0;
1462 reg32 = MCHBAR32(0x400 * channel + 0x248);
1463 reg32 &= ~0xf0000;
1464 reg32 |= s->rcven_t[channel].min_common_coarse << 16;
1465 MCHBAR32(0x400 * channel + 0x248) = reg32;
Arthur Heymans97e13d82016-11-30 18:40:38 +01001466
Arthur Heymans276049f2017-11-05 05:56:34 +01001467 FOR_EACH_BYTELANE(lane) {
Arthur Heymansadc571a2017-09-25 09:40:54 +02001468 medium |= s->rcven_t[channel].medium[lane]
1469 << (lane * 2);
1470 coarse_offset |=
1471 (s->rcven_t[channel].coarse_offset[lane] & 0x3)
1472 << (lane * 2);
1473
1474 pi_tap = MCHBAR8(0x400 * channel + 0x560 + lane * 4);
1475 pi_tap &= ~0x7f;
1476 pi_tap |= s->rcven_t[channel].tap[lane];
1477 pi_tap |= s->rcven_t[channel].pi[lane] << 4;
1478 MCHBAR8(0x400 * channel + 0x560 + lane * 4) = pi_tap;
Arthur Heymans97e13d82016-11-30 18:40:38 +01001479 }
Arthur Heymansadc571a2017-09-25 09:40:54 +02001480 MCHBAR16(0x400 * channel + 0x58c) = medium;
1481 MCHBAR16(0x400 * channel + 0x5fa) = coarse_offset;
Arthur Heymans97e13d82016-11-30 18:40:38 +01001482 }
1483}
1484
Arthur Heymansadc571a2017-09-25 09:40:54 +02001485static void sdram_program_receive_enable(struct sysinfo *s, int fast_boot)
Arthur Heymans97e13d82016-11-30 18:40:38 +01001486{
Arthur Heymans97e13d82016-11-30 18:40:38 +01001487 /* Program Receive Enable Timings */
Arthur Heymansadc571a2017-09-25 09:40:54 +02001488 if (fast_boot)
1489 sdram_recover_receive_enable(s);
1490 else
Arthur Heymans6d7a8c12017-03-07 20:48:14 +01001491 rcven(s);
Arthur Heymans97e13d82016-11-30 18:40:38 +01001492}
1493
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001494static void set_dradrb(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001495{
Arthur Heymans0602ce62018-05-26 14:44:42 +02001496 u8 map, i, ch, r, rankpop0, rankpop1, lastrank_ch1;
Damien Zammit4b513a62015-08-20 00:37:05 +10001497 u32 c0dra = 0;
1498 u32 c1dra = 0;
1499 u32 c0drb = 0;
1500 u32 c1drb = 0;
1501 u32 dra;
1502 u32 dra0;
1503 u32 dra1;
1504 u16 totalmemorymb;
Arthur Heymans701da392017-12-16 22:56:19 +01001505 u32 dual_channel_size, single_channel_size, single_channel_offset;
1506 u32 size_ch0, size_ch1, size_me;
Damien Zammit4b513a62015-08-20 00:37:05 +10001507 u8 dratab[2][2][2][4] = {
1508 {
1509 {
1510 {0xff, 0xff, 0xff, 0xff},
1511 {0xff, 0x00, 0x02, 0xff}
1512 },
1513 {
1514 {0xff, 0x01, 0xff, 0xff},
1515 {0xff, 0x03, 0xff, 0xff}
1516 }
1517 },
1518 {
1519 {
1520 {0xff, 0xff, 0xff, 0xff},
1521 {0xff, 0x04, 0x06, 0x08}
1522 },
1523 {
1524 {0xff, 0xff, 0xff, 0xff},
1525 {0x05, 0x07, 0x09, 0xff}
1526 }
1527 }
1528 };
1529
1530 u8 drbtab[10] = {0x04, 0x02, 0x08, 0x04, 0x08, 0x04, 0x10, 0x08, 0x20, 0x10};
1531
Angel Pons9d20c842021-01-13 12:39:37 +01001532 /* DRA */
Damien Zammit4b513a62015-08-20 00:37:05 +10001533 rankpop0 = 0;
1534 rankpop1 = 0;
1535 FOR_EACH_POPULATED_RANK(s->dimms, ch, r) {
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001536 if (s->dimms[ch<<1].card_type != RAW_CARD_UNPOPULATED
1537 && (r) < s->dimms[ch<<1].ranks)
Damien Zammit4b513a62015-08-20 00:37:05 +10001538 i = ch << 1;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001539 else
Damien Zammit4b513a62015-08-20 00:37:05 +10001540 i = (ch << 1) + 1;
Arthur Heymans3cf94032017-04-05 16:17:26 +02001541
1542 dra = dratab[s->dimms[i].n_banks]
Damien Zammit4b513a62015-08-20 00:37:05 +10001543 [s->dimms[i].width]
1544 [s->dimms[i].cols-9]
1545 [s->dimms[i].rows-12];
Arthur Heymans3cf94032017-04-05 16:17:26 +02001546 if (s->dimms[i].n_banks == N_BANKS_8)
Damien Zammit4b513a62015-08-20 00:37:05 +10001547 dra |= 0x80;
Damien Zammit4b513a62015-08-20 00:37:05 +10001548 if (ch == 0) {
1549 c0dra |= dra << (r*8);
1550 rankpop0 |= 1 << r;
1551 } else {
1552 c1dra |= dra << (r*8);
1553 rankpop1 |= 1 << r;
1554 }
1555 }
1556 MCHBAR32(0x208) = c0dra;
1557 MCHBAR32(0x608) = c1dra;
1558
Felix Held432575c2018-07-29 18:09:30 +02001559 MCHBAR8_AND_OR(0x262, ~0xf0, (rankpop0 << 4) & 0xf0);
1560 MCHBAR8_AND_OR(0x662, ~0xf0, (rankpop1 << 4) & 0xf0);
Damien Zammit4b513a62015-08-20 00:37:05 +10001561
Arthur Heymansb4a78042017-12-25 20:17:41 +01001562 if (s->spd_type == DDR3) {
1563 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
1564 /* ZQCAL enable */
Felix Held432575c2018-07-29 18:09:30 +02001565 MCHBAR32_OR(0x269 + 0x400 * ch, 1 << 26);
Arthur Heymansb4a78042017-12-25 20:17:41 +01001566 }
1567 }
1568
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001569 if (ONLY_DIMMA_IS_POPULATED(s->dimms, 0) ||
1570 ONLY_DIMMB_IS_POPULATED(s->dimms, 0))
Felix Held432575c2018-07-29 18:09:30 +02001571 MCHBAR8_OR(0x260, 1);
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001572 if (ONLY_DIMMA_IS_POPULATED(s->dimms, 1) ||
1573 ONLY_DIMMB_IS_POPULATED(s->dimms, 1))
Felix Held432575c2018-07-29 18:09:30 +02001574 MCHBAR8_OR(0x660, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001575
Angel Pons9d20c842021-01-13 12:39:37 +01001576 /* DRB */
Arthur Heymans0602ce62018-05-26 14:44:42 +02001577 lastrank_ch1 = 0;
Arthur Heymansdfce9322017-12-16 19:48:00 +01001578 FOR_EACH_RANK(ch, r) {
Damien Zammit4b513a62015-08-20 00:37:05 +10001579 if (ch == 0) {
Arthur Heymansdfce9322017-12-16 19:48:00 +01001580 if (RANK_IS_POPULATED(s->dimms, ch, r)) {
1581 dra0 = (c0dra >> (8*r)) & 0x7f;
1582 c0drb = (u16)(c0drb + drbtab[dra0]);
1583 }
Damien Zammit4b513a62015-08-20 00:37:05 +10001584 MCHBAR16(0x200 + 2*r) = c0drb;
1585 } else {
Arthur Heymansdfce9322017-12-16 19:48:00 +01001586 if (RANK_IS_POPULATED(s->dimms, ch, r)) {
Arthur Heymans0602ce62018-05-26 14:44:42 +02001587 lastrank_ch1 = r;
Arthur Heymansdfce9322017-12-16 19:48:00 +01001588 dra1 = (c1dra >> (8*r)) & 0x7f;
1589 c1drb = (u16)(c1drb + drbtab[dra1]);
1590 }
Damien Zammit4b513a62015-08-20 00:37:05 +10001591 MCHBAR16(0x600 + 2*r) = c1drb;
1592 }
1593 }
1594
1595 s->channel_capacity[0] = c0drb << 6;
1596 s->channel_capacity[1] = c1drb << 6;
Arthur Heymans0602ce62018-05-26 14:44:42 +02001597
1598 /*
1599 * In stacked mode the last present rank on ch1 needs to have its
1600 * size doubled in c1drbx. All subsequent ranks need the same setting
1601 * according to: "Intel 4 Series Chipset Family Datasheet"
1602 */
1603 if (s->stacked_mode) {
1604 for (r = lastrank_ch1; r < 4; r++)
1605 MCHBAR16(0x600 + 2*r) = 2 * c1drb;
1606 }
1607
Damien Zammit4b513a62015-08-20 00:37:05 +10001608 totalmemorymb = s->channel_capacity[0] + s->channel_capacity[1];
1609 printk(BIOS_DEBUG, "Total memory: %d + %d = %dMiB\n",
1610 s->channel_capacity[0], s->channel_capacity[1], totalmemorymb);
1611
Damien Zammit9fb08f52016-01-22 18:56:23 +11001612 /* Populated channel sizes in MiB */
Arthur Heymans701da392017-12-16 22:56:19 +01001613 size_ch0 = s->channel_capacity[0];
1614 size_ch1 = s->channel_capacity[1];
1615 size_me = ME_UMA_SIZEMB;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001616
Arthur Heymans0602ce62018-05-26 14:44:42 +02001617 if (s->stacked_mode) {
Felix Held432575c2018-07-29 18:09:30 +02001618 MCHBAR8_OR(0x111, STACKED_MEM);
Arthur Heymans0602ce62018-05-26 14:44:42 +02001619 } else {
Felix Held432575c2018-07-29 18:09:30 +02001620 MCHBAR8_AND(0x111, ~STACKED_MEM);
1621 MCHBAR8_OR(0x111, 1 << 4);
Arthur Heymans0602ce62018-05-26 14:44:42 +02001622 }
Damien Zammit9fb08f52016-01-22 18:56:23 +11001623
Arthur Heymans0602ce62018-05-26 14:44:42 +02001624 if (s->stacked_mode) {
1625 dual_channel_size = 0;
1626 } else if (size_me == 0) {
Arthur Heymans701da392017-12-16 22:56:19 +01001627 dual_channel_size = MIN(size_ch0, size_ch1) * 2;
1628 } else {
1629 if (size_ch0 == 0) {
Elyes HAOUASef906092020-02-20 19:41:17 +01001630 /* ME needs RAM on CH0 */
Arthur Heymans701da392017-12-16 22:56:19 +01001631 size_me = 0;
1632 /* TOTEST: bailout? */
1633 } else {
1634 /* Set ME UMA size in MiB */
1635 MCHBAR16(0x100) = size_me;
1636 /* Set ME UMA Present bit */
Felix Held432575c2018-07-29 18:09:30 +02001637 MCHBAR32_OR(0x111, 1);
Arthur Heymans701da392017-12-16 22:56:19 +01001638 }
1639 dual_channel_size = MIN(size_ch0 - size_me, size_ch1) * 2;
1640 }
Arthur Heymans0602ce62018-05-26 14:44:42 +02001641
Arthur Heymans701da392017-12-16 22:56:19 +01001642 MCHBAR16(0x104) = dual_channel_size;
1643 single_channel_size = size_ch0 + size_ch1 - dual_channel_size;
1644 MCHBAR16(0x102) = single_channel_size;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001645
Damien Zammit4b513a62015-08-20 00:37:05 +10001646 map = 0;
Arthur Heymans701da392017-12-16 22:56:19 +01001647 if (size_ch0 == 0)
Damien Zammit4b513a62015-08-20 00:37:05 +10001648 map = 0;
Arthur Heymans701da392017-12-16 22:56:19 +01001649 else if (size_ch1 == 0)
Damien Zammit4b513a62015-08-20 00:37:05 +10001650 map |= 0x20;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001651 else
Damien Zammit4b513a62015-08-20 00:37:05 +10001652 map |= 0x40;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001653
Arthur Heymans701da392017-12-16 22:56:19 +01001654 if (dual_channel_size == 0)
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001655 map |= 0x18;
Arthur Heymans701da392017-12-16 22:56:19 +01001656 /* Enable flex mode, we hardcode this everywhere */
1657 if (size_me == 0) {
Arthur Heymans0602ce62018-05-26 14:44:42 +02001658 if (!(s->stacked_mode && size_ch0 != 0 && size_ch1 != 0)) {
1659 map |= 0x04;
1660 if (size_ch0 <= size_ch1)
1661 map |= 0x01;
1662 }
Arthur Heymans701da392017-12-16 22:56:19 +01001663 } else {
Arthur Heymans0602ce62018-05-26 14:44:42 +02001664 if (s->stacked_mode == 0 && size_ch0 - size_me < size_ch1)
Arthur Heymans701da392017-12-16 22:56:19 +01001665 map |= 0x04;
1666 }
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001667
Damien Zammit4b513a62015-08-20 00:37:05 +10001668 MCHBAR8(0x110) = map;
1669 MCHBAR16(0x10e) = 0;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001670
Arthur Heymans701da392017-12-16 22:56:19 +01001671 /*
1672 * "108h[15:0] Single Channel Offset for Ch0"
1673 * This is the 'limit' of the part on CH0 that cannot be matched
1674 * with memory on CH1. MCHBAR16(0x10a) is where the dual channel
1675 * memory on ch0s end and MCHBAR16(0x108) is the limit of the single
1676 * channel size on ch0.
1677 */
Arthur Heymans0602ce62018-05-26 14:44:42 +02001678 if (s->stacked_mode && size_ch1 != 0) {
1679 single_channel_offset = 0;
1680 } else if (size_me == 0) {
Arthur Heymans701da392017-12-16 22:56:19 +01001681 if (size_ch0 > size_ch1)
1682 single_channel_offset = dual_channel_size / 2
1683 + single_channel_size;
1684 else
1685 single_channel_offset = dual_channel_size / 2;
1686 } else {
1687 if ((size_ch0 > size_ch1) && ((map & 0x7) == 4))
1688 single_channel_offset = dual_channel_size / 2
1689 + single_channel_size;
1690 else
1691 single_channel_offset = dual_channel_size / 2
1692 + size_me;
1693 }
1694
1695 MCHBAR16(0x108) = single_channel_offset;
1696 MCHBAR16(0x10a) = dual_channel_size / 2;
Damien Zammit4b513a62015-08-20 00:37:05 +10001697}
1698
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001699static void configure_mmap(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001700{
Damien Zammitd63115d2016-01-22 19:11:44 +11001701 bool reclaim;
1702 u32 gfxsize, gttsize, tsegsize, mmiosize, tom, tolud, touud;
1703 u32 gfxbase, gttbase, tsegbase, reclaimbase, reclaimlimit;
Arthur Heymans16a70a42017-09-22 12:22:24 +02001704 u32 mmiostart, umasizem;
Damien Zammit4b513a62015-08-20 00:37:05 +10001705 u16 ggc;
Arthur Heymans27f94ee2016-06-18 21:08:58 +02001706 u16 ggc2uma[] = { 0, 1, 4, 8, 16, 32, 48, 64, 128, 256, 96,
1707 160, 224, 352 };
Damien Zammit4b513a62015-08-20 00:37:05 +10001708 u8 ggc2gtt[] = { 0, 1, 0, 2, 0, 0, 0, 0, 0, 2, 3, 4};
1709
Angel Ponsd1c590a2020-08-03 16:01:39 +02001710 ggc = pci_read_config16(HOST_BRIDGE, 0x52);
Damien Zammit4b513a62015-08-20 00:37:05 +10001711 gfxsize = ggc2uma[(ggc & 0xf0) >> 4];
1712 gttsize = ggc2gtt[(ggc & 0xf00) >> 8];
Arthur Heymansd522db02018-08-06 15:50:54 +02001713 /* TSEG 2M, This amount can easily be covered by SMRR MTRR's,
1714 which requires to have TSEG_BASE aligned to TSEG_SIZE. */
1715 tsegsize = 2;
Angel Pons9d20c842021-01-13 12:39:37 +01001716 mmiosize = 0x800; /* 2GB MMIO */
Arthur Heymans16a70a42017-09-22 12:22:24 +02001717 umasizem = gfxsize + gttsize + tsegsize;
1718 mmiostart = 0x1000 - mmiosize + umasizem;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001719 tom = s->channel_capacity[0] + s->channel_capacity[1] - ME_UMA_SIZEMB;
Arthur Heymans16a70a42017-09-22 12:22:24 +02001720 tolud = MIN(mmiostart, tom);
Damien Zammitd63115d2016-01-22 19:11:44 +11001721
1722 reclaim = false;
1723 if ((tom - tolud) > 0x40)
1724 reclaim = true;
1725
1726 if (reclaim) {
1727 tolud = tolud & ~0x3f;
1728 tom = tom & ~0x3f;
1729 reclaimbase = MAX(0x1000, tom);
1730 reclaimlimit = reclaimbase + (MIN(0x1000, tom) - tolud) - 0x40;
1731 }
1732
Damien Zammit4b513a62015-08-20 00:37:05 +10001733 touud = tom;
Damien Zammitd63115d2016-01-22 19:11:44 +11001734 if (reclaim)
1735 touud = reclaimlimit + 0x40;
1736
Damien Zammit4b513a62015-08-20 00:37:05 +10001737 gfxbase = tolud - gfxsize;
1738 gttbase = gfxbase - gttsize;
1739 tsegbase = gttbase - tsegsize;
1740
Angel Ponsd1c590a2020-08-03 16:01:39 +02001741 pci_write_config16(HOST_BRIDGE, 0xb0, tolud << 4);
1742 pci_write_config16(HOST_BRIDGE, 0xa0, tom >> 6);
Damien Zammitd63115d2016-01-22 19:11:44 +11001743 if (reclaim) {
Angel Ponsd1c590a2020-08-03 16:01:39 +02001744 pci_write_config16(HOST_BRIDGE, 0x98,
Damien Zammitd63115d2016-01-22 19:11:44 +11001745 (u16)(reclaimbase >> 6));
Angel Ponsd1c590a2020-08-03 16:01:39 +02001746 pci_write_config16(HOST_BRIDGE, 0x9a,
Damien Zammitd63115d2016-01-22 19:11:44 +11001747 (u16)(reclaimlimit >> 6));
1748 }
Angel Ponsd1c590a2020-08-03 16:01:39 +02001749 pci_write_config16(HOST_BRIDGE, 0xa2, touud);
1750 pci_write_config32(HOST_BRIDGE, 0xa4, gfxbase << 20);
1751 pci_write_config32(HOST_BRIDGE, 0xa8, gttbase << 20);
Angel Pons4a9569a2020-06-08 01:39:25 +02001752 /* Enable and set TSEG size to 2M */
Angel Ponsd1c590a2020-08-03 16:01:39 +02001753 pci_update_config8(HOST_BRIDGE, D0F0_ESMRAMC, ~0x07, (1 << 1) | (1 << 0));
1754 pci_write_config32(HOST_BRIDGE, 0xac, tsegbase << 20);
Damien Zammit4b513a62015-08-20 00:37:05 +10001755}
1756
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001757static void set_enhanced_mode(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001758{
1759 u8 ch, reg8;
Arthur Heymans7345a172018-05-26 15:08:06 +02001760 u32 reg32;
Damien Zammit4b513a62015-08-20 00:37:05 +10001761
1762 MCHBAR32(0xfb0) = 0x1000d024;
1763 MCHBAR32(0xfb4) = 0xc842;
1764 MCHBAR32(0xfbc) = 0xf;
1765 MCHBAR32(0xfc4) = 0xfe22244;
1766 MCHBAR8(0x12f) = 0x5c;
Felix Held432575c2018-07-29 18:09:30 +02001767 MCHBAR8_OR(0xfb0, 1);
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001768 if (s->selected_timings.mem_clk <= MEM_CLOCK_800MHz)
Felix Held432575c2018-07-29 18:09:30 +02001769 MCHBAR8_OR(0x12f, 0x2);
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001770 else
Felix Held432575c2018-07-29 18:09:30 +02001771 MCHBAR8_AND(0x12f, ~0x2);
1772 MCHBAR8_AND_OR(0x6c0, ~0xf0, 0xa0);
Damien Zammit4b513a62015-08-20 00:37:05 +10001773 MCHBAR32(0xfa8) = 0x30d400;
1774
1775 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Felix Held432575c2018-07-29 18:09:30 +02001776 MCHBAR8_OR(0x400*ch + 0x26c, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001777 MCHBAR32(0x400*ch + 0x278) = 0x88141881;
1778 MCHBAR16(0x400*ch + 0x27c) = 0x0041;
1779 MCHBAR8(0x400*ch + 0x292) = 0xf2;
Felix Held432575c2018-07-29 18:09:30 +02001780 MCHBAR16_OR(0x400*ch + 0x272, 0x100);
1781 MCHBAR8_AND_OR(0x400*ch + 0x243, ~0x2, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001782 MCHBAR32(0x400*ch + 0x288) = 0x8040200;
1783 MCHBAR32(0x400*ch + 0x28c) = 0xff402010;
1784 MCHBAR32(0x400*ch + 0x290) = 0x4f2091c;
1785 }
1786
Angel Ponsd1c590a2020-08-03 16:01:39 +02001787 reg8 = pci_read_config8(HOST_BRIDGE, 0xf0);
1788 pci_write_config8(HOST_BRIDGE, 0xf0, reg8 | 1);
Felix Held3a2f9002018-07-29 18:51:22 +02001789 MCHBAR32_AND_OR(0xfa0, ~0x20002, 0x2 | (s->selected_timings.fsb_clk ==
1790 FSB_CLOCK_1333MHz ? 0x20000 : 0));
Arthur Heymans7345a172018-05-26 15:08:06 +02001791 reg32 = 0x219100c2;
1792 if (s->selected_timings.fsb_clk == FSB_CLOCK_1333MHz) {
1793 reg32 |= 1;
1794 if (s->selected_timings.mem_clk == MEM_CLOCK_1066MHz)
1795 reg32 &= ~0x10000;
1796 } else if (s->selected_timings.fsb_clk == FSB_CLOCK_1066MHz) {
1797 reg32 &= ~0x10000;
1798 }
Felix Held432575c2018-07-29 18:09:30 +02001799 MCHBAR32_AND_OR(0xfa4, ~0x219100c3, reg32);
Arthur Heymans7345a172018-05-26 15:08:06 +02001800 reg32 = 0x44a00;
1801 switch (s->selected_timings.fsb_clk) {
1802 case FSB_CLOCK_1333MHz:
1803 reg32 |= 0x62;
1804 break;
1805 case FSB_CLOCK_1066MHz:
1806 reg32 |= 0x5a;
1807 break;
1808 default:
1809 case FSB_CLOCK_800MHz:
1810 reg32 |= 0x53;
1811 break;
1812 }
1813
1814 MCHBAR32(0x2c) = reg32;
Damien Zammit4b513a62015-08-20 00:37:05 +10001815 MCHBAR32(0x30) = 0x1f5a86;
1816 MCHBAR32(0x34) = 0x1902810;
1817 MCHBAR32(0x38) = 0xf7000000;
Arthur Heymans7345a172018-05-26 15:08:06 +02001818 reg32 = 0x23014410;
1819 if (s->selected_timings.fsb_clk > FSB_CLOCK_800MHz)
1820 reg32 = (reg32 & ~0x2000000) | 0x44000000;
1821 MCHBAR32(0x3c) = reg32;
1822 reg32 = 0x8f038000;
1823 if (s->selected_timings.fsb_clk == FSB_CLOCK_1333MHz)
1824 reg32 &= ~0x4000000;
Felix Held432575c2018-07-29 18:09:30 +02001825 MCHBAR32_AND_OR(0x40, ~0x8f038000, reg32);
Arthur Heymans7345a172018-05-26 15:08:06 +02001826 reg32 = 0x00013001;
1827 if (s->selected_timings.fsb_clk < FSB_CLOCK_1333MHz)
1828 reg32 |= 0x20000;
1829 MCHBAR32(0x20) = reg32;
Angel Ponsd1c590a2020-08-03 16:01:39 +02001830 pci_write_config8(HOST_BRIDGE, 0xf0, reg8 & ~1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001831}
1832
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001833static void power_settings(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001834{
1835 u32 reg1, reg2, reg3, reg4, clkgate, x592;
1836 u8 lane, ch;
1837 u8 twl = 0;
1838 u16 x264, x23c;
1839
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001840 if (s->spd_type == DDR2) {
1841 twl = s->selected_timings.CAS - 1;
1842 x264 = 0x78;
1843
1844 switch (s->selected_timings.mem_clk) {
1845 default:
1846 case MEM_CLOCK_667MHz:
1847 reg1 = 0x99;
1848 reg2 = 0x1048a9;
1849 clkgate = 0x230000;
1850 x23c = 0x7a89;
1851 break;
1852 case MEM_CLOCK_800MHz:
1853 if (s->selected_timings.CAS == 5) {
1854 reg1 = 0x19a;
1855 reg2 = 0x1048aa;
1856 } else {
1857 reg1 = 0x9a;
1858 reg2 = 0x2158aa;
Damien Zammit4b513a62015-08-20 00:37:05 +10001859 x264 = 0x89;
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001860 }
1861 clkgate = 0x280000;
1862 x23c = 0x7b89;
1863 break;
Damien Zammit4b513a62015-08-20 00:37:05 +10001864 }
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001865 reg3 = 0x232;
1866 reg4 = 0x2864;
1867 } else { /* DDR3 */
1868 int ddr3_idx = s->selected_timings.mem_clk - MEM_CLOCK_800MHz;
1869 int cas_idx = s->selected_timings.CAS - 5;
1870
1871 twl = s->selected_timings.mem_clk - MEM_CLOCK_800MHz + 5;
1872 reg1 = ddr3_c2_tab[s->nmode - 1][ddr3_idx][cas_idx][0];
1873 reg2 = ddr3_c2_tab[s->nmode - 1][ddr3_idx][cas_idx][1];
1874 reg3 = 0x764;
1875 reg4 = 0x78c8;
1876 x264 = ddr3_c2_x264[ddr3_idx][cas_idx];
1877 x23c = ddr3_c2_x23c[ddr3_idx][cas_idx];
1878 switch (s->selected_timings.mem_clk) {
1879 case MEM_CLOCK_800MHz:
1880 default:
1881 clkgate = 0x280000;
1882 break;
1883 case MEM_CLOCK_1066MHz:
1884 clkgate = 0x350000;
1885 break;
1886 case MEM_CLOCK_1333MHz:
1887 clkgate = 0xff0000;
1888 break;
1889 }
Damien Zammit4b513a62015-08-20 00:37:05 +10001890 }
Damien Zammit4b513a62015-08-20 00:37:05 +10001891
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001892 if (CHANNEL_IS_POPULATED(s->dimms, 0) && CHANNEL_IS_POPULATED(s->dimms, 1))
Damien Zammit4b513a62015-08-20 00:37:05 +10001893 MCHBAR32(0x14) = 0x0010461f;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001894 else
Damien Zammit4b513a62015-08-20 00:37:05 +10001895 MCHBAR32(0x14) = 0x0010691f;
Damien Zammit4b513a62015-08-20 00:37:05 +10001896 MCHBAR32(0x18) = 0xdf6437f7;
1897 MCHBAR32(0x1c) = 0x0;
Felix Held432575c2018-07-29 18:09:30 +02001898 MCHBAR32_AND_OR(0x24, ~0xe0000000, 0x60000000);
1899 MCHBAR32_AND_OR(0x44, ~0x1fef0000, 0x6b0000);
Damien Zammit4b513a62015-08-20 00:37:05 +10001900 MCHBAR16(0x115) = (u16) reg1;
Felix Held432575c2018-07-29 18:09:30 +02001901 MCHBAR32_AND_OR(0x117, ~0xffffff, reg2);
Damien Zammit4b513a62015-08-20 00:37:05 +10001902 MCHBAR8(0x124) = 0x7;
Angel Pons9d20c842021-01-13 12:39:37 +01001903 /* not sure if dummy reads are needed */
Felix Held432575c2018-07-29 18:09:30 +02001904 MCHBAR16_AND_OR(0x12a, 0, 0x80);
1905 MCHBAR8_AND_OR(0x12c, 0, 0xa0);
1906 MCHBAR16_AND(0x174, ~(1 << 15));
1907 MCHBAR16_AND_OR(0x188, ~0x1f00, 0x1f00);
1908 MCHBAR8_AND(0x18c, ~0x8);
1909 MCHBAR8_OR(0x192, 1);
1910 MCHBAR8_OR(0x193, 0xf);
1911 MCHBAR16_AND_OR(0x1b4, ~0x480, 0x80);
Angel Pons9d20c842021-01-13 12:39:37 +01001912 MCHBAR16_AND_OR(0x210, ~0x1fff, 0x3f); /* clockgating iii */
1913 /* non-aligned access: possible bug? */
Felix Held432575c2018-07-29 18:09:30 +02001914 MCHBAR32_AND_OR(0x6d1, ~0xff03ff, 0x100 | clkgate);
1915 MCHBAR8_AND_OR(0x212, ~0x7f, 0x7f);
1916 MCHBAR32_AND_OR(0x2c0, ~0xffff0, 0xcc5f0);
1917 MCHBAR8_AND_OR(0x2c4, ~0x70, 0x70);
Angel Pons9d20c842021-01-13 12:39:37 +01001918 /* non-aligned access: possible bug? */
1919 MCHBAR32_AND_OR(0x2d1, ~0xffffff, 0xff2831); /* clockgating i */
Damien Zammit4b513a62015-08-20 00:37:05 +10001920 MCHBAR32(0x2d4) = 0x40453600;
1921 MCHBAR32(0x300) = 0xc0b0a08;
1922 MCHBAR32(0x304) = 0x6040201;
Felix Held432575c2018-07-29 18:09:30 +02001923 MCHBAR32_AND_OR(0x30c, ~0x43c0f, 0x41405);
Arthur Heymans7345a172018-05-26 15:08:06 +02001924 MCHBAR16(0x610) = reg3;
1925 MCHBAR16(0x612) = reg4;
Felix Held432575c2018-07-29 18:09:30 +02001926 MCHBAR32_AND_OR(0x62c, ~0xc000000, 0x4000000);
Damien Zammit4b513a62015-08-20 00:37:05 +10001927 MCHBAR32(0xae4) = 0;
Felix Held432575c2018-07-29 18:09:30 +02001928 MCHBAR32_AND_OR(0xc00, ~0xf0000, 0x10000);
Damien Zammit4b513a62015-08-20 00:37:05 +10001929 MCHBAR32(0xf00) = 0x393a3b3c;
1930 MCHBAR32(0xf04) = 0x3d3e3f40;
1931 MCHBAR32(0xf08) = 0x393a3b3c;
1932 MCHBAR32(0xf0c) = 0x3d3e3f40;
Felix Held432575c2018-07-29 18:09:30 +02001933 MCHBAR32_AND(0xf18, ~0xfff00001);
Damien Zammit4b513a62015-08-20 00:37:05 +10001934 MCHBAR32(0xf48) = 0xfff0ffe0;
1935 MCHBAR32(0xf4c) = 0xffc0ff00;
1936 MCHBAR32(0xf50) = 0xfc00f000;
1937 MCHBAR32(0xf54) = 0xc0008000;
Felix Held432575c2018-07-29 18:09:30 +02001938 MCHBAR32_AND_OR(0xf6c, ~0xffff0000, 0xffff0000);
1939 MCHBAR32_AND(0xfac, ~0x80000000);
1940 MCHBAR32_AND(0xfb8, ~0xff000000);
1941 MCHBAR32_AND_OR(0xfbc, ~0x7f800, 0xf000);
Damien Zammit4b513a62015-08-20 00:37:05 +10001942 MCHBAR32(0x1104) = 0x3003232;
1943 MCHBAR32(0x1108) = 0x74;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001944 if (s->selected_timings.fsb_clk == FSB_CLOCK_800MHz)
Damien Zammit4b513a62015-08-20 00:37:05 +10001945 MCHBAR32(0x110c) = 0xaa;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001946 else
Damien Zammit4b513a62015-08-20 00:37:05 +10001947 MCHBAR32(0x110c) = 0x100;
Damien Zammit4b513a62015-08-20 00:37:05 +10001948 MCHBAR32(0x1110) = 0x10810350 & ~0x78;
1949 MCHBAR32(0x1114) = 0;
Damien Zammit4b513a62015-08-20 00:37:05 +10001950 x592 = 0xff;
Angel Ponsd1c590a2020-08-03 16:01:39 +02001951 if (pci_read_config8(HOST_BRIDGE, 0x8) < 3)
Damien Zammit4b513a62015-08-20 00:37:05 +10001952 x592 = ~0x4;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001953
Damien Zammit4b513a62015-08-20 00:37:05 +10001954 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
1955 MCHBAR8(0x400*ch + 0x239) = twl + 15;
1956 MCHBAR16(0x400*ch + 0x23c) = x23c;
Felix Held432575c2018-07-29 18:09:30 +02001957 MCHBAR32_AND_OR(0x400*ch + 0x248, ~0x706033, 0x406033);
1958 MCHBAR32_AND_OR(0x400*ch + 0x260, ~(1 << 16), 1 << 16);
Damien Zammit4b513a62015-08-20 00:37:05 +10001959 MCHBAR8(0x400*ch + 0x264) = x264;
Felix Held432575c2018-07-29 18:09:30 +02001960 MCHBAR8_AND_OR(0x400*ch + 0x592, ~0x3f, 0x3c & x592);
1961 MCHBAR8_AND_OR(0x400*ch + 0x593, ~0x1f, 0x1e);
Damien Zammit4b513a62015-08-20 00:37:05 +10001962 }
1963
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001964 for (lane = 0; lane < 8; lane++)
Felix Held432575c2018-07-29 18:09:30 +02001965 MCHBAR8_AND(0x561 + (lane << 2), ~(1 << 3));
Damien Zammit4b513a62015-08-20 00:37:05 +10001966}
1967
Arthur Heymansb5170c32017-12-25 20:13:28 +01001968static void software_ddr3_reset(struct sysinfo *s)
1969{
1970 printk(BIOS_DEBUG, "Software initiated DDR3 reset.\n");
Felix Held432575c2018-07-29 18:09:30 +02001971 MCHBAR8_OR(0x1a8, 0x02);
1972 MCHBAR8_AND(0x5da, ~0x80);
1973 MCHBAR8_AND(0x1a8, ~0x02);
1974 MCHBAR8_AND_OR(0x5da, ~0x03, 1);
Arthur Heymansb5170c32017-12-25 20:13:28 +01001975 udelay(200);
Felix Held432575c2018-07-29 18:09:30 +02001976 MCHBAR8_AND(0x1a8, ~0x02);
1977 MCHBAR8_OR(0x5da, 0x80);
1978 MCHBAR8_AND(0x5da, ~0x80);
Arthur Heymansb5170c32017-12-25 20:13:28 +01001979 udelay(500);
Felix Held432575c2018-07-29 18:09:30 +02001980 MCHBAR8_OR(0x5da, 0x03);
1981 MCHBAR8_AND(0x5da, ~0x03);
Arthur Heymansb5170c32017-12-25 20:13:28 +01001982 /* After write leveling the dram needs to be reset and reinitialised */
1983 jedec_ddr3(s);
1984}
1985
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001986void do_raminit(struct sysinfo *s, int fast_boot)
Damien Zammit4b513a62015-08-20 00:37:05 +10001987{
1988 u8 ch;
1989 u8 r, bank;
1990 u32 reg32;
1991
Arthur Heymans97e13d82016-11-30 18:40:38 +01001992 if (s->boot_path != BOOT_PATH_WARM_RESET) {
Angel Pons9d20c842021-01-13 12:39:37 +01001993 /* Clear self refresh */
Arthur Heymans97e13d82016-11-30 18:40:38 +01001994 MCHBAR32(PMSTS_MCHBAR) = MCHBAR32(PMSTS_MCHBAR)
1995 | PMSTS_BOTH_SELFREFRESH;
Damien Zammit4b513a62015-08-20 00:37:05 +10001996
Angel Pons9d20c842021-01-13 12:39:37 +01001997 /* Clear host clk gate reg */
Felix Held432575c2018-07-29 18:09:30 +02001998 MCHBAR32_OR(0x1c, 0xffffffff);
Damien Zammit4b513a62015-08-20 00:37:05 +10001999
Angel Pons9d20c842021-01-13 12:39:37 +01002000 /* Select type */
Arthur Heymans840c27e2017-05-15 10:21:37 +02002001 if (s->spd_type == DDR2)
Felix Held432575c2018-07-29 18:09:30 +02002002 MCHBAR8_AND(0x1a8, ~0x4);
Arthur Heymans840c27e2017-05-15 10:21:37 +02002003 else
Felix Held432575c2018-07-29 18:09:30 +02002004 MCHBAR8_OR(0x1a8, 0x4);
Damien Zammit4b513a62015-08-20 00:37:05 +10002005
Angel Pons9d20c842021-01-13 12:39:37 +01002006 /* Set frequency */
Felix Held432575c2018-07-29 18:09:30 +02002007 MCHBAR32_AND_OR(0xc00, ~0x70,
2008 (s->selected_timings.mem_clk << 4) | (1 << 10));
Damien Zammit4b513a62015-08-20 00:37:05 +10002009
Angel Pons9d20c842021-01-13 12:39:37 +01002010 /* Overwrite value if chipset rejects it */
Arthur Heymans97e13d82016-11-30 18:40:38 +01002011 s->selected_timings.mem_clk = (MCHBAR8(0xc00) & 0x70) >> 4;
2012 if (s->selected_timings.mem_clk > (s->max_fsb + 3))
2013 die("Error: DDR is faster than FSB, halt\n");
Damien Zammit4b513a62015-08-20 00:37:05 +10002014 }
2015
Angel Pons9d20c842021-01-13 12:39:37 +01002016 /* Program clock crossing */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002017 program_crossclock(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002018 printk(BIOS_DEBUG, "Done clk crossing\n");
2019
Arthur Heymans97e13d82016-11-30 18:40:38 +01002020 if (s->boot_path != BOOT_PATH_WARM_RESET) {
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002021 setioclk_dram(s);
Arthur Heymans97e13d82016-11-30 18:40:38 +01002022 printk(BIOS_DEBUG, "Done I/O clk\n");
2023 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002024
Angel Pons9d20c842021-01-13 12:39:37 +01002025 /* Grant to launch */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002026 launch_dram(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002027 printk(BIOS_DEBUG, "Done launch\n");
2028
Angel Pons9d20c842021-01-13 12:39:37 +01002029 /* Program DRAM timings */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002030 program_timings(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002031 printk(BIOS_DEBUG, "Done timings\n");
2032
Angel Pons9d20c842021-01-13 12:39:37 +01002033 /* Program DLL */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002034 program_dll(s);
Arthur Heymans0bf87de2017-11-04 06:15:05 +01002035 if (!fast_boot)
2036 select_default_dq_dqs_settings(s);
2037 set_all_dq_dqs_dll_settings(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002038
Angel Pons9d20c842021-01-13 12:39:37 +01002039 /* RCOMP */
Arthur Heymans97e13d82016-11-30 18:40:38 +01002040 if (s->boot_path != BOOT_PATH_WARM_RESET) {
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002041 prog_rcomp(s);
Arthur Heymans97e13d82016-11-30 18:40:38 +01002042 printk(BIOS_DEBUG, "RCOMP\n");
2043 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002044
Angel Pons9d20c842021-01-13 12:39:37 +01002045 /* ODT */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002046 program_odt(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002047 printk(BIOS_DEBUG, "Done ODT\n");
2048
Angel Pons9d20c842021-01-13 12:39:37 +01002049 /* RCOMP update */
Arthur Heymans97e13d82016-11-30 18:40:38 +01002050 if (s->boot_path != BOOT_PATH_WARM_RESET) {
Felix Held432575c2018-07-29 18:09:30 +02002051 while (MCHBAR8(0x130) & 1)
Arthur Heymans97e13d82016-11-30 18:40:38 +01002052 ;
2053 printk(BIOS_DEBUG, "Done RCOMP update\n");
2054 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002055
Arthur Heymans1994e4482017-11-04 07:52:23 +01002056 pre_jedec_memory_map();
Damien Zammit4b513a62015-08-20 00:37:05 +10002057
Angel Pons9d20c842021-01-13 12:39:37 +01002058 /* IOBUFACT */
Damien Zammit4b513a62015-08-20 00:37:05 +10002059 if (CHANNEL_IS_POPULATED(s->dimms, 0)) {
Felix Held432575c2018-07-29 18:09:30 +02002060 MCHBAR8_AND_OR(0x5dd, ~0x3f, 0x3f);
2061 MCHBAR8_OR(0x5d8, 0x7);
Damien Zammit4b513a62015-08-20 00:37:05 +10002062 }
2063 if (CHANNEL_IS_POPULATED(s->dimms, 1)) {
Angel Ponsd1c590a2020-08-03 16:01:39 +02002064 if (pci_read_config8(HOST_BRIDGE, 0x8) < 2) {
Felix Held432575c2018-07-29 18:09:30 +02002065 MCHBAR8_AND_OR(0x5dd, ~0x3f, 0x3f);
2066 MCHBAR8_OR(0x5d8, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10002067 }
Felix Held432575c2018-07-29 18:09:30 +02002068 MCHBAR8_OR(0x9dd, 0x3f);
2069 MCHBAR8_OR(0x9d8, 0x7);
Damien Zammit4b513a62015-08-20 00:37:05 +10002070 }
2071
Arthur Heymansb5170c32017-12-25 20:13:28 +01002072 /* DDR3 reset */
2073 if ((s->spd_type == DDR3) && (s->boot_path != BOOT_PATH_RESUME)) {
2074 printk(BIOS_DEBUG, "DDR3 Reset.\n");
Felix Held432575c2018-07-29 18:09:30 +02002075 MCHBAR8_AND(0x1a8, ~0x2);
2076 MCHBAR8_OR(0x5da, 0x80);
Arthur Heymansb5170c32017-12-25 20:13:28 +01002077 udelay(500);
Felix Held432575c2018-07-29 18:09:30 +02002078 MCHBAR8_AND(0x1a8, ~0x2);
2079 MCHBAR8_AND(0x5da, ~0x80);
Arthur Heymansb5170c32017-12-25 20:13:28 +01002080 udelay(500);
2081 }
2082
Angel Pons9d20c842021-01-13 12:39:37 +01002083 /* Pre jedec */
Felix Held432575c2018-07-29 18:09:30 +02002084 MCHBAR8_OR(0x40, 0x2);
Damien Zammit4b513a62015-08-20 00:37:05 +10002085 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Felix Held432575c2018-07-29 18:09:30 +02002086 MCHBAR32_OR(0x400*ch + 0x260, 1 << 27);
Damien Zammit4b513a62015-08-20 00:37:05 +10002087 }
Felix Held432575c2018-07-29 18:09:30 +02002088 MCHBAR16_OR(0x212, 0xf000);
2089 MCHBAR16_OR(0x212, 0xf00);
Damien Zammit4b513a62015-08-20 00:37:05 +10002090 printk(BIOS_DEBUG, "Done pre-jedec\n");
2091
Angel Pons9d20c842021-01-13 12:39:37 +01002092 /* JEDEC reset */
Arthur Heymansf1287262017-12-25 18:30:01 +01002093 if (s->boot_path != BOOT_PATH_RESUME) {
2094 if (s->spd_type == DDR2)
2095 jedec_ddr2(s);
2096 else /* DDR3 */
2097 jedec_ddr3(s);
2098 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002099
2100 printk(BIOS_DEBUG, "Done jedec steps\n");
2101
Arthur Heymansb5170c32017-12-25 20:13:28 +01002102 if (s->spd_type == DDR3) {
2103 if (!fast_boot)
2104 search_write_leveling(s);
2105 if (s->boot_path == BOOT_PATH_NORMAL)
2106 software_ddr3_reset(s);
2107 }
2108
Angel Pons9d20c842021-01-13 12:39:37 +01002109 /* After JEDEC reset */
Felix Held432575c2018-07-29 18:09:30 +02002110 MCHBAR8_AND(0x40, ~0x2);
Damien Zammit4b513a62015-08-20 00:37:05 +10002111 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Arthur Heymans0d284952017-05-25 19:55:52 +02002112 reg32 = (2 << 18);
2113 reg32 |= post_jedec_tab[s->selected_timings.fsb_clk]
2114 [s->selected_timings.mem_clk - MEM_CLOCK_667MHz][0]
2115 << 13;
2116 if (s->selected_timings.mem_clk == MEM_CLOCK_667MHz &&
2117 s->selected_timings.fsb_clk == FSB_CLOCK_1066MHz &&
2118 ch == 1) {
2119 reg32 |= (post_jedec_tab[s->selected_timings.fsb_clk]
2120 [s->selected_timings.mem_clk - MEM_CLOCK_667MHz][1]
2121 - 1) << 8;
2122 } else {
2123 reg32 |= post_jedec_tab[s->selected_timings.fsb_clk]
2124 [s->selected_timings.mem_clk - MEM_CLOCK_667MHz][1]
2125 << 8;
2126 }
Felix Held432575c2018-07-29 18:09:30 +02002127 MCHBAR32_AND_OR(0x400*ch + 0x274, ~0xfff00, reg32);
2128 MCHBAR8_AND(0x400*ch + 0x274, ~0x80);
2129 MCHBAR8_OR(0x400*ch + 0x26c, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10002130 MCHBAR32(0x400*ch + 0x278) = 0x88141881;
2131 MCHBAR16(0x400*ch + 0x27c) = 0x41;
2132 MCHBAR8(0x400*ch + 0x292) = 0xf2;
Felix Held432575c2018-07-29 18:09:30 +02002133 MCHBAR8_OR(0x400*ch + 0x271, 0xe);
Damien Zammit4b513a62015-08-20 00:37:05 +10002134 }
Felix Held432575c2018-07-29 18:09:30 +02002135 MCHBAR8_OR(0x2c4, 0x8);
2136 MCHBAR8_OR(0x2c3, 0x40);
2137 MCHBAR8_OR(0x2c4, 0x4);
Damien Zammit4b513a62015-08-20 00:37:05 +10002138
2139 printk(BIOS_DEBUG, "Done post-jedec\n");
2140
Angel Pons9d20c842021-01-13 12:39:37 +01002141 /* Set DDR init complete */
Damien Zammit4b513a62015-08-20 00:37:05 +10002142 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Felix Held432575c2018-07-29 18:09:30 +02002143 MCHBAR32_OR(0x400*ch + 0x268, 0xc0000000);
Damien Zammit4b513a62015-08-20 00:37:05 +10002144 }
2145
Angel Pons9d20c842021-01-13 12:39:37 +01002146 /* Dummy reads */
Arthur Heymans8bb2bac2019-08-10 20:34:17 +02002147 if (s->boot_path == BOOT_PATH_NORMAL) {
2148 FOR_EACH_POPULATED_RANK(s->dimms, ch, r) {
2149 for (bank = 0; bank < 4; bank++)
2150 read32((u32 *)(test_address(ch, r) | 0x800000 | (bank << 12)));
2151 }
2152 }
2153 printk(BIOS_DEBUG, "Done dummy reads\n");
2154
Angel Pons9d20c842021-01-13 12:39:37 +01002155 /* Receive enable */
Arthur Heymansadc571a2017-09-25 09:40:54 +02002156 sdram_program_receive_enable(s, fast_boot);
Damien Zammit4b513a62015-08-20 00:37:05 +10002157 printk(BIOS_DEBUG, "Done rcven\n");
2158
Angel Pons9d20c842021-01-13 12:39:37 +01002159 /* Finish rcven */
Damien Zammit4b513a62015-08-20 00:37:05 +10002160 FOR_EACH_CHANNEL(ch) {
Felix Held432575c2018-07-29 18:09:30 +02002161 MCHBAR8_AND(0x400*ch + 0x5d8, ~0xe);
2162 MCHBAR8_OR(0x400*ch + 0x5d8, 0x2);
2163 MCHBAR8_OR(0x400*ch + 0x5d8, 0x4);
2164 MCHBAR8_OR(0x400*ch + 0x5d8, 0x8);
Damien Zammit4b513a62015-08-20 00:37:05 +10002165 }
Felix Held432575c2018-07-29 18:09:30 +02002166 MCHBAR8_OR(0x5dc, 0x80);
2167 MCHBAR8_AND(0x5dc, ~0x80);
2168 MCHBAR8_OR(0x5dc, 0x80);
Damien Zammit4b513a62015-08-20 00:37:05 +10002169
Angel Pons9d20c842021-01-13 12:39:37 +01002170 /* XXX tRD */
Damien Zammit4b513a62015-08-20 00:37:05 +10002171
Arthur Heymans95c48cb2017-11-04 08:07:06 +01002172 if (!fast_boot) {
2173 if (s->selected_timings.mem_clk > MEM_CLOCK_667MHz) {
Elyes HAOUAS5ba154a2020-08-04 13:27:52 +02002174 if (do_write_training(s))
Arthur Heymans95c48cb2017-11-04 08:07:06 +01002175 die("DQ write training failed!");
2176 }
2177 if (do_read_training(s))
2178 die("DQS read training failed!");
2179 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002180
Angel Pons9d20c842021-01-13 12:39:37 +01002181 /* DRADRB */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002182 set_dradrb(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002183 printk(BIOS_DEBUG, "Done DRADRB\n");
2184
Angel Pons9d20c842021-01-13 12:39:37 +01002185 /* Memory map */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002186 configure_mmap(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002187 printk(BIOS_DEBUG, "Done memory map\n");
2188
Angel Pons9d20c842021-01-13 12:39:37 +01002189 /* Enhanced mode */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002190 set_enhanced_mode(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002191 printk(BIOS_DEBUG, "Done enhanced mode\n");
2192
Angel Pons9d20c842021-01-13 12:39:37 +01002193 /* Periodic RCOMP */
Felix Held432575c2018-07-29 18:09:30 +02002194 MCHBAR16_AND_OR(0x160, ~0xfff, 0x999);
2195 MCHBAR16_OR(0x1b4, 0x3000);
2196 MCHBAR8_OR(0x130, 0x82);
Damien Zammit4b513a62015-08-20 00:37:05 +10002197 printk(BIOS_DEBUG, "Done PRCOMP\n");
2198
Angel Pons9d20c842021-01-13 12:39:37 +01002199 /* Power settings */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002200 power_settings(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002201 printk(BIOS_DEBUG, "Done power settings\n");
2202
Angel Pons9d20c842021-01-13 12:39:37 +01002203 /* ME related */
Arthur Heymansddc88282017-02-27 16:27:21 +01002204 /*
2205 * FIXME: This locks some registers like bit1 of GGC
2206 * and is only needed in case of ME being used.
2207 */
2208 if (ME_UMA_SIZEMB != 0) {
2209 if (RANK_IS_POPULATED(s->dimms, 0, 0)
2210 || RANK_IS_POPULATED(s->dimms, 1, 0))
Felix Held432575c2018-07-29 18:09:30 +02002211 MCHBAR8_OR(0xa2f, 1 << 0);
Arthur Heymansddc88282017-02-27 16:27:21 +01002212 if (RANK_IS_POPULATED(s->dimms, 0, 1)
2213 || RANK_IS_POPULATED(s->dimms, 1, 1))
Felix Held432575c2018-07-29 18:09:30 +02002214 MCHBAR8_OR(0xa2f, 1 << 1);
2215 MCHBAR32_OR(0xa30, 1 << 26);
Damien Zammitd63115d2016-01-22 19:11:44 +11002216 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002217
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002218 printk(BIOS_DEBUG, "Done raminit\n");
Damien Zammit4b513a62015-08-20 00:37:05 +10002219}