blob: 9fb05b0145397f5dfdc47c0beb792ca4b9cf6aea [file] [log] [blame]
Patrick Georgiac959032020-05-05 22:49:26 +02001/* SPDX-License-Identifier: GPL-2.0-or-later */
Damien Zammit4b513a62015-08-20 00:37:05 +10002
Arthur Heymans1994e4482017-11-04 07:52:23 +01003#include <assert.h>
Damien Zammit4b513a62015-08-20 00:37:05 +10004#include <stdint.h>
Kyösti Mälkki13f66502019-03-03 08:01:05 +02005#include <device/mmio.h>
Kyösti Mälkkif1b58b72019-03-01 13:43:02 +02006#include <device/pci_ops.h>
Damien Zammit4b513a62015-08-20 00:37:05 +10007#include <console/console.h>
8#include <commonlib/helpers.h>
9#include <delay.h>
Julius Wernercd49cce2019-03-05 16:53:33 -080010#if CONFIG(SOUTHBRIDGE_INTEL_I82801GX)
Arthur Heymans97e13d82016-11-30 18:40:38 +010011#include <southbridge/intel/i82801gx/i82801gx.h>
Arthur Heymans349e0852017-04-09 20:48:37 +020012#else
13#include <southbridge/intel/i82801jx/i82801jx.h>
Arthur Heymans97e13d82016-11-30 18:40:38 +010014#endif
Arthur Heymans0bf87de2017-11-04 06:15:05 +010015#include <string.h>
Angel Pons41e66ac2020-09-15 13:17:23 +020016#include "raminit.h"
Martin Rothcbe38922016-01-05 19:40:41 -070017#include "x4x.h"
Damien Zammit4b513a62015-08-20 00:37:05 +100018
Damien Zammit9fb08f52016-01-22 18:56:23 +110019#define ME_UMA_SIZEMB 0
20
Elyes HAOUASe951e8e2019-06-15 11:03:00 +020021u32 fsb_to_mhz(u32 speed)
Damien Zammit4b513a62015-08-20 00:37:05 +100022{
23 return (speed * 267) + 800;
24}
25
Elyes HAOUASe951e8e2019-06-15 11:03:00 +020026u32 ddr_to_mhz(u32 speed)
Damien Zammit4b513a62015-08-20 00:37:05 +100027{
28 static const u16 mhz[] = { 0, 0, 667, 800, 1067, 1333 };
29
Jacob Garber5033d6c2019-06-11 15:23:23 -060030 if (speed <= 1 || speed >= ARRAY_SIZE(mhz))
31 die("RAM init: invalid memory speed %u\n", speed);
Damien Zammit4b513a62015-08-20 00:37:05 +100032
33 return mhz[speed];
34}
35
Arthur Heymansa2cc2312017-05-15 10:13:36 +020036static void program_crossclock(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +100037{
38 u8 i, j;
Arthur Heymans840c27e2017-05-15 10:21:37 +020039 u32 reg32;
Felix Held432575c2018-07-29 18:09:30 +020040 MCHBAR16_OR(0xc1c, (1 << 15));
Damien Zammit4b513a62015-08-20 00:37:05 +100041
Damien Zammit4b513a62015-08-20 00:37:05 +100042 static const u32 clkxtab[6][3][13] = {
Arthur Heymans8a3514d2016-10-27 23:56:08 +020043 /* MEMCLK 400 N/A */
44 {{}, {}, {} },
45 /* MEMCLK 533 N/A */
46 {{}, {}, {} },
47 /* MEMCLK 667
48 * FSB 800 */
Arthur Heymans840c27e2017-05-15 10:21:37 +020049 {{0x1f1f1f1f, 0x0d07070b, 0x00000000, 0x10000000,
Arthur Heymans8a3514d2016-10-27 23:56:08 +020050 0x20010208, 0x04080000, 0x10010002, 0x00000000,
51 0x00000000, 0x02000000, 0x04000100, 0x08000000,
52 0x10200204},
53 /* FSB 1067 */
54 {0x6d5b1f1f, 0x0f0f0f0f, 0x00000000, 0x20000000,
55 0x80020410, 0x02040008, 0x10000100, 0x00000000,
56 0x00000000, 0x04000000, 0x08000102, 0x20000000,
57 0x40010208},
58 /* FSB 1333 */
59 {0x05050303, 0xffffffff, 0xffff0000, 0x00000000,
60 0x08020000, 0x00000000, 0x00020001, 0x00000000,
61 0x00000000, 0x00000000, 0x08010204, 0x00000000,
62 0x04010000} },
63 /* MEMCLK 800
64 * FSB 800 */
65 {{0xffffffff, 0x05030305, 0x0000ffff, 0x0000000,
66 0x08010204, 0x00000000, 0x08010204, 0x0000000,
67 0x00000000, 0x00000000, 0x00020001, 0x0000000,
68 0x04080102},
69 /* FSB 1067 */
70 {0x07070707, 0x06030303, 0x00000000, 0x00000000,
71 0x08010200, 0x00000000, 0x04000102, 0x00000000,
Arthur Heymans840c27e2017-05-15 10:21:37 +020072 0x00000000, 0x00000000, 0x00020100, 0x00000000,
73 0x04080100},
Arthur Heymans8a3514d2016-10-27 23:56:08 +020074 /* FSB 1333 */
75 {0x0d0b0707, 0x3e1f1f2f, 0x01010000, 0x00000000,
76 0x10020400, 0x02000000, 0x00040100, 0x00000000,
77 0x00000000, 0x04080000, 0x00100102, 0x00000000,
78 0x08100200} },
79 /* MEMCLK 1067 */
80 {{},
81 /* FSB 1067 */
82 {0xffffffff, 0x05030305, 0x0000ffff, 0x00000000,
83 0x04080102, 0x00000000, 0x08010204, 0x00000000,
84 0x00000000, 0x00000000, 0x00020001, 0x00000000,
85 0x02040801},
86 /* FSB 1333 */
87 {0x0f0f0f0f, 0x5b1f1f6d, 0x00000000, 0x00000000,
88 0x08010204, 0x04000000, 0x00080102, 0x00000000,
89 0x00000000, 0x02000408, 0x00100001, 0x00000000,
90 0x04080102} },
91 /* MEMCLK 1333 */
92 {{}, {},
93 /* FSB 1333 */
94 {0xffffffff, 0x05030305, 0x0000ffff, 0x00000000,
95 0x04080102, 0x00000000, 0x04080102, 0x00000000,
96 0x00000000, 0x00000000, 0x00000000, 0x00000000,
97 0x02040801} }
Damien Zammit4b513a62015-08-20 00:37:05 +100098 };
99
100 i = (u8)s->selected_timings.mem_clk;
101 j = (u8)s->selected_timings.fsb_clk;
102
103 MCHBAR32(0xc04) = clkxtab[i][j][0];
Arthur Heymans840c27e2017-05-15 10:21:37 +0200104 reg32 = clkxtab[i][j][1];
105 if (s->spd_type == DDR3 && s->max_fsb == FSB_CLOCK_1333MHz
106 && s->selected_timings.mem_clk == MEM_CLOCK_800MHz) {
107 reg32 &= ~(0xff << 24);
108 reg32 |= 0x3d << 24;
109 }
110 MCHBAR32(0xc50) = reg32;
Damien Zammit4b513a62015-08-20 00:37:05 +1000111 MCHBAR32(0xc54) = clkxtab[i][j][2];
Felix Held432575c2018-07-29 18:09:30 +0200112 MCHBAR8_OR(0xc08, (1 << 7));
Damien Zammit4b513a62015-08-20 00:37:05 +1000113 MCHBAR32(0x6d8) = clkxtab[i][j][3];
114 MCHBAR32(0x6e0) = clkxtab[i][j][3];
115 MCHBAR32(0x6dc) = clkxtab[i][j][4];
116 MCHBAR32(0x6e4) = clkxtab[i][j][4];
117 MCHBAR32(0x6e8) = clkxtab[i][j][5];
118 MCHBAR32(0x6f0) = clkxtab[i][j][5];
119 MCHBAR32(0x6ec) = clkxtab[i][j][6];
120 MCHBAR32(0x6f4) = clkxtab[i][j][6];
121 MCHBAR32(0x6f8) = clkxtab[i][j][7];
122 MCHBAR32(0x6fc) = clkxtab[i][j][8];
123 MCHBAR32(0x708) = clkxtab[i][j][11];
124 MCHBAR32(0x70c) = clkxtab[i][j][12];
125}
126
Arthur Heymansa2cc2312017-05-15 10:13:36 +0200127static void setioclk_dram(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +1000128{
129 MCHBAR32(0x1bc) = 0x08060402;
Felix Held432575c2018-07-29 18:09:30 +0200130 MCHBAR16_OR(0x1c0, 0x200);
131 MCHBAR16_OR(0x1c0, 0x100);
132 MCHBAR16_OR(0x1c0, 0x20);
133 MCHBAR16_AND(0x1c0, ~1);
Damien Zammit4b513a62015-08-20 00:37:05 +1000134 switch (s->selected_timings.mem_clk) {
135 default:
136 case MEM_CLOCK_800MHz:
137 case MEM_CLOCK_1066MHz:
Felix Held432575c2018-07-29 18:09:30 +0200138 MCHBAR8_AND_OR(0x5d9, ~0x2, 0x2);
139 MCHBAR8_AND_OR(0x9d9, ~0x2, 0x2);
140 MCHBAR8_AND_OR(0x189, ~0xf0, 0xc0);
141 MCHBAR8_AND_OR(0x189, ~0xf0, 0xe0);
142 MCHBAR8_AND_OR(0x189, ~0xf0, 0xa0);
Damien Zammit4b513a62015-08-20 00:37:05 +1000143 break;
144 case MEM_CLOCK_667MHz:
145 case MEM_CLOCK_1333MHz:
Felix Held432575c2018-07-29 18:09:30 +0200146 MCHBAR8_AND(0x5d9, ~0x2);
147 MCHBAR8_AND(0x9d9, ~0x2);
148 MCHBAR8_AND_OR(0x189, ~0xf0, 0x40);
Damien Zammit4b513a62015-08-20 00:37:05 +1000149 break;
150 }
Felix Held432575c2018-07-29 18:09:30 +0200151 MCHBAR32_OR(0x594, 1 << 31);
152 MCHBAR32_OR(0x994, 1 << 31);
Damien Zammit4b513a62015-08-20 00:37:05 +1000153}
154
Arthur Heymansa2cc2312017-05-15 10:13:36 +0200155static void launch_dram(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +1000156{
157 u8 i;
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200158 u32 launch1;
Damien Zammit4b513a62015-08-20 00:37:05 +1000159 u32 launch2 = 0;
Damien Zammit4b513a62015-08-20 00:37:05 +1000160
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200161 static const u32 ddr3_launch1_tab[2][3] = {
162 /* 1N */
163 {0x58000007, /* DDR3 800 */
164 0x58000007, /* DDR3 1067 */
165 0x58100107}, /* DDR3 1333 */
166 /* 2N */
167 {0x58001117, /* DDR3 800 */
168 0x58001117, /* DDR3 1067 */
169 0x58001117} /* DDR3 1333 */
170 };
171
172 static const u32 ddr3_launch2_tab[2][3][6] = {
173 { /* 1N */
174 /* DDR3 800 */
175 {0x08030000, /* CL = 5 */
176 0x0C040100}, /* CL = 6 */
177 /* DDR3 1066 */
178 {0x00000000, /* CL = 5 */
179 0x00000000, /* CL = 6 */
180 0x10050100, /* CL = 7 */
181 0x14260200}, /* CL = 8 */
182 /* DDR3 1333 */
183 {0x00000000, /* CL = 5 */
184 0x00000000, /* CL = 6 */
185 0x00000000, /* CL = 7 */
186 0x14060000, /* CL = 8 */
187 0x18070100, /* CL = 9 */
188 0x1C280200}, /* CL = 10 */
189
190 },
191 { /* 2N */
192 /* DDR3 800 */
193 {0x00040101, /* CL = 5 */
194 0x00250201}, /* CL = 6 */
195 /* DDR3 1066 */
196 {0x00000000, /* CL = 5 */
197 0x00050101, /* CL = 6 */
198 0x04260201, /* CL = 7 */
199 0x08470301}, /* CL = 8 */
200 /* DDR3 1333 */
201 {0x00000000, /* CL = 5 */
202 0x00000000, /* CL = 6 */
203 0x00000000, /* CL = 7 */
204 0x08070100, /* CL = 8 */
205 0x0C280200, /* CL = 9 */
206 0x10490300} /* CL = 10 */
207 }
208 };
209
210 if (s->spd_type == DDR2) {
211 launch1 = 0x58001117;
212 if (s->selected_timings.CAS == 5)
213 launch2 = 0x00220201;
214 else if (s->selected_timings.CAS == 6)
215 launch2 = 0x00230302;
216 else
217 die("Unsupported CAS\n");
218 } else { /* DDR3 */
219 /* Default 2N mode */
220 s->nmode = 2;
221
222 if (s->selected_timings.mem_clk <= MEM_CLOCK_1066MHz)
223 s->nmode = 1;
Elyes HAOUAS6538d912021-01-16 15:01:23 +0100224 /* 2N on DDR3 1066 with 2 dimms per channel */
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200225 if ((s->selected_timings.mem_clk == MEM_CLOCK_1066MHz) &&
226 (BOTH_DIMMS_ARE_POPULATED(s->dimms, 0) ||
227 BOTH_DIMMS_ARE_POPULATED(s->dimms, 1)))
228 s->nmode = 2;
229 launch1 = ddr3_launch1_tab[s->nmode - 1]
230 [s->selected_timings.mem_clk - MEM_CLOCK_800MHz];
231 launch2 = ddr3_launch2_tab[s->nmode - 1]
232 [s->selected_timings.mem_clk - MEM_CLOCK_800MHz]
233 [s->selected_timings.CAS - 5];
234 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000235
236 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
237 MCHBAR32(0x400*i + 0x220) = launch1;
238 MCHBAR32(0x400*i + 0x224) = launch2;
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200239 MCHBAR32(0x400*i + 0x21c) = 0;
Felix Held432575c2018-07-29 18:09:30 +0200240 MCHBAR32_OR(0x400*i + 0x248, 1 << 23);
Damien Zammit4b513a62015-08-20 00:37:05 +1000241 }
242
Felix Held432575c2018-07-29 18:09:30 +0200243 MCHBAR32_AND_OR(0x2c0, ~0x58000000, 0x48000000);
244 MCHBAR32_OR(0x2c0, 0x1e0);
245 MCHBAR32_AND_OR(0x2c4, ~0xf, 0xc);
Arthur Heymans7a3a3192017-05-15 10:26:29 +0200246 if (s->spd_type == DDR3)
Felix Held432575c2018-07-29 18:09:30 +0200247 MCHBAR32_OR(0x2c4, 0x100);
Damien Zammit4b513a62015-08-20 00:37:05 +1000248}
249
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200250static void clkset0(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000251{
Felix Held3a2f9002018-07-29 18:51:22 +0200252 MCHBAR16_AND_OR(0x400*ch + 0x5a0, ~0xc440,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200253 (setting->clk_delay << 14) |
254 (setting->db_sel << 6) |
Felix Held3a2f9002018-07-29 18:51:22 +0200255 (setting->db_en << 10));
256 MCHBAR8_AND_OR(0x400*ch + 0x581, ~0x70, setting->pi << 4);
257 MCHBAR8_AND_OR(0x400*ch + 0x581, ~0xf, setting->tap);
Damien Zammit4b513a62015-08-20 00:37:05 +1000258}
259
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200260static void clkset1(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000261{
Felix Held3a2f9002018-07-29 18:51:22 +0200262 MCHBAR32_AND_OR(0x400*ch + 0x5a0, ~0x30880,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200263 (setting->clk_delay << 16) |
264 (setting->db_sel << 7) |
Felix Held3a2f9002018-07-29 18:51:22 +0200265 (setting->db_en << 11));
266 MCHBAR8_AND_OR(0x400*ch + 0x582, ~0x70, setting->pi << 4);
267 MCHBAR8_AND_OR(0x400*ch + 0x582, ~0xf, setting->tap);
Damien Zammit4b513a62015-08-20 00:37:05 +1000268}
269
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200270static void ctrlset0(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000271{
Felix Held3a2f9002018-07-29 18:51:22 +0200272 MCHBAR32_AND_OR(0x400*ch + 0x59c, ~0x3300000,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200273 (setting->clk_delay << 24) |
274 (setting->db_sel << 20) |
Felix Held3a2f9002018-07-29 18:51:22 +0200275 (setting->db_en << 21));
276 MCHBAR8_AND_OR(0x400*ch + 0x584, ~0x70, setting->pi << 4);
277 MCHBAR8_AND_OR(0x400*ch + 0x584, ~0xf, setting->tap);
Damien Zammit4b513a62015-08-20 00:37:05 +1000278}
279
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200280static void ctrlset1(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000281{
Felix Held3a2f9002018-07-29 18:51:22 +0200282 MCHBAR32_AND_OR(0x400*ch + 0x59c, ~0x18c00000,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200283 (setting->clk_delay << 27) |
284 (setting->db_sel << 22) |
Felix Held3a2f9002018-07-29 18:51:22 +0200285 (setting->db_en << 23));
286 MCHBAR8_AND_OR(0x400*ch + 0x585, ~0x70, setting->pi << 4);
287 MCHBAR8_AND_OR(0x400*ch + 0x585, ~0xf, setting->tap);
Damien Zammit4b513a62015-08-20 00:37:05 +1000288}
289
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200290static void ctrlset2(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000291{
Angel Pons22fd0dc2021-01-13 14:27:14 +0100292 /*
293 * MRC uses an incorrect mask when programming this register, but
294 * the reset default value is zero and it is only programmed once.
295 * As it makes no difference, we can safely use the correct mask.
296 */
297 MCHBAR32_AND_OR(0x400*ch + 0x598, ~0xf000,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200298 (setting->clk_delay << 14) |
299 (setting->db_sel << 12) |
Felix Held3a2f9002018-07-29 18:51:22 +0200300 (setting->db_en << 13));
301 MCHBAR8_AND_OR(0x400*ch + 0x586, ~0x70, setting->pi << 4);
302 MCHBAR8_AND_OR(0x400*ch + 0x586, ~0xf, setting->tap);
Damien Zammit4b513a62015-08-20 00:37:05 +1000303}
304
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200305static void ctrlset3(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000306{
Angel Pons22fd0dc2021-01-13 14:27:14 +0100307 /*
308 * MRC uses an incorrect mask when programming this register, but
309 * the reset default value is zero and it is only programmed once.
310 * As it makes no difference, we can safely use the correct mask.
311 */
312 MCHBAR32_AND_OR(0x400*ch + 0x598, ~0xf00,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200313 (setting->clk_delay << 10) |
314 (setting->db_sel << 8) |
Felix Held3a2f9002018-07-29 18:51:22 +0200315 (setting->db_en << 9));
316 MCHBAR8_AND_OR(0x400*ch + 0x587, ~0x70, setting->pi << 4);
317 MCHBAR8_AND_OR(0x400*ch + 0x587, ~0xf, setting->tap);
Damien Zammit4b513a62015-08-20 00:37:05 +1000318}
319
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200320static void cmdset(u8 ch, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000321{
Felix Held3a2f9002018-07-29 18:51:22 +0200322 MCHBAR8_AND_OR(0x400*ch + 0x598, ~0x30, setting->clk_delay << 4);
323 MCHBAR8_AND_OR(0x400*ch + 0x594, ~0x60,
Arthur Heymans27f0ca12017-05-09 18:38:14 +0200324 (setting->db_sel << 5) |
Felix Held3a2f9002018-07-29 18:51:22 +0200325 (setting->db_en << 6));
326 MCHBAR8_AND_OR(0x400*ch + 0x580, ~0x70, setting->pi << 4);
327 MCHBAR8_AND_OR(0x400*ch + 0x580, ~0xf, setting->tap);
Damien Zammit4b513a62015-08-20 00:37:05 +1000328}
329
Arthur Heymans3876f242017-06-09 22:55:22 +0200330/**
331 * All finer DQ and DQS DLL settings are set to the same value
332 * for each rank in a channel, while coarse is common.
333 */
Arthur Heymans95c48cb2017-11-04 08:07:06 +0100334void dqsset(u8 ch, u8 lane, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000335{
Arthur Heymans3876f242017-06-09 22:55:22 +0200336 int rank;
Damien Zammit4b513a62015-08-20 00:37:05 +1000337
Felix Held3a2f9002018-07-29 18:51:22 +0200338 MCHBAR32_AND_OR(0x400 * ch + 0x5fc, ~(1 << (lane * 4 + 1)),
339 setting->coarse << (lane * 4 + 1));
Damien Zammit4b513a62015-08-20 00:37:05 +1000340
Arthur Heymans3876f242017-06-09 22:55:22 +0200341 for (rank = 0; rank < 4; rank++) {
Felix Held3a2f9002018-07-29 18:51:22 +0200342 MCHBAR32_AND_OR(0x400 * ch + 0x5b4 + rank * 4, ~(0x201 << lane),
343 (setting->db_en << (9 + lane)) |
344 (setting->db_sel << lane));
Damien Zammit4b513a62015-08-20 00:37:05 +1000345
Felix Held3a2f9002018-07-29 18:51:22 +0200346 MCHBAR32_AND_OR(0x400*ch + 0x5c8 + rank * 4,
347 ~(0x3 << (16 + lane * 2)),
348 setting->clk_delay << (16+lane * 2));
Arthur Heymans3876f242017-06-09 22:55:22 +0200349
350 MCHBAR8(0x400*ch + 0x520 + lane * 4 + rank) =
Felix Held3a2f9002018-07-29 18:51:22 +0200351 (MCHBAR8(0x400*ch + 0x520 + lane * 4) & ~0x7f) |
352 (setting->pi << 4) |
353 setting->tap;
Arthur Heymans3876f242017-06-09 22:55:22 +0200354 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000355}
356
Arthur Heymans95c48cb2017-11-04 08:07:06 +0100357void dqset(u8 ch, u8 lane, const struct dll_setting *setting)
Damien Zammit4b513a62015-08-20 00:37:05 +1000358{
Arthur Heymans3876f242017-06-09 22:55:22 +0200359 int rank;
Felix Held3a2f9002018-07-29 18:51:22 +0200360 MCHBAR32_AND_OR(0x400 * ch + 0x5fc, ~(1 << (lane * 4)),
361 setting->coarse << (lane * 4));
Damien Zammit4b513a62015-08-20 00:37:05 +1000362
Arthur Heymans3876f242017-06-09 22:55:22 +0200363 for (rank = 0; rank < 4; rank++) {
Felix Held3a2f9002018-07-29 18:51:22 +0200364 MCHBAR32_AND_OR(0x400 * ch + 0x5a4 + rank * 4, ~(0x201 << lane),
365 (setting->db_en << (9 + lane)) |
366 (setting->db_sel << lane));
Damien Zammit4b513a62015-08-20 00:37:05 +1000367
Felix Held3a2f9002018-07-29 18:51:22 +0200368 MCHBAR32_AND_OR(0x400 * ch + 0x5c8 + rank * 4,
369 ~(0x3 << (lane * 2)), setting->clk_delay << (2 * lane));
Damien Zammit4b513a62015-08-20 00:37:05 +1000370
Felix Held3a2f9002018-07-29 18:51:22 +0200371 MCHBAR8_AND_OR(0x400*ch + 0x500 + lane * 4 + rank, ~0x7f,
372 (setting->pi << 4) | setting->tap);
Arthur Heymans3876f242017-06-09 22:55:22 +0200373 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000374}
375
Arthur Heymans95c48cb2017-11-04 08:07:06 +0100376void rt_set_dqs(u8 channel, u8 lane, u8 rank,
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100377 struct rt_dqs_setting *dqs_setting)
378{
379 u16 saved_tap = MCHBAR16(0x540 + 0x400 * channel + lane * 4);
380 u16 saved_pi = MCHBAR16(0x542 + 0x400 * channel + lane * 4);
Arthur Heymans95c48cb2017-11-04 08:07:06 +0100381 printk(RAM_SPEW, "RT DQS: ch%d, r%d, L%d: %d.%d\n", channel, rank, lane,
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100382 dqs_setting->tap,
383 dqs_setting->pi);
384
385 saved_tap &= ~(0xf << (rank * 4));
386 saved_tap |= dqs_setting->tap << (rank * 4);
387 MCHBAR16(0x540 + 0x400 * channel + lane * 4) = saved_tap;
388
389 saved_pi &= ~(0x7 << (rank * 3));
390 saved_pi |= dqs_setting->pi << (rank * 3);
391 MCHBAR16(0x542 + 0x400 * channel + lane * 4) = saved_pi;
392}
393
Arthur Heymansa2cc2312017-05-15 10:13:36 +0200394static void program_timings(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +1000395{
396 u8 i;
397 u8 twl, ta1, ta2, ta3, ta4;
398 u8 reg8;
399 u8 flag1 = 0;
400 u8 flag2 = 0;
401 u16 reg16;
402 u32 reg32;
403 u16 ddr, fsb;
404 u8 trpmod = 0;
405 u8 bankmod = 1;
406 u8 pagemod = 0;
Arthur Heymanseee4f6b2017-01-03 00:49:45 +0100407 u8 adjusted_cas;
408
409 adjusted_cas = s->selected_timings.CAS - 3;
Damien Zammit4b513a62015-08-20 00:37:05 +1000410
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200411 u16 fsb_to_ps[3] = {
Angel Pons9d20c842021-01-13 12:39:37 +0100412 5000, /* 800 */
413 3750, /* 1067 */
414 3000 /* 1333 */
Damien Zammit4b513a62015-08-20 00:37:05 +1000415 };
416
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200417 u16 ddr_to_ps[6] = {
Angel Pons9d20c842021-01-13 12:39:37 +0100418 5000, /* 400 */
419 3750, /* 533 */
420 3000, /* 667 */
421 2500, /* 800 */
422 1875, /* 1067 */
423 1500 /* 1333 */
Damien Zammit4b513a62015-08-20 00:37:05 +1000424 };
425
426 u16 lut1[6] = {
427 0,
428 0,
429 2600,
430 3120,
431 4171,
432 5200
433 };
434
Elyes HAOUAS68ec3eb2019-06-22 09:21:18 +0200435 static const u8 ddr3_turnaround_tab[3][6][4] = {
Arthur Heymans66a0f552017-05-15 10:33:01 +0200436 { /* DDR3 800 */
437 {0x9, 0x7, 0x7, 0x9}, /* CL = 5 */
438 {0x9, 0x7, 0x8, 0x8}, /* CL = 6 */
439 },
440 { /* DDR3 1066 */
441 {0x0, 0x0, 0x0, 0x0}, /* CL = 5 - Not supported */
442 {0x9, 0x7, 0x7, 0x9}, /* CL = 6 */
443 {0x9, 0x7, 0x8, 0x8}, /* CL = 7 */
444 {0x9, 0x7, 0x9, 0x7} /* CL = 8 */
445 },
446 { /* DDR3 1333 */
447 {0x0, 0x0, 0x0, 0x0}, /* CL = 5 - Not supported */
448 {0x0, 0x0, 0x0, 0x0}, /* CL = 6 - Not supported */
449 {0x0, 0x0, 0x0, 0x0}, /* CL = 7 - Not supported */
450 {0x9, 0x7, 0x9, 0x8}, /* CL = 8 */
451 {0x9, 0x7, 0xA, 0x7}, /* CL = 9 */
452 {0x9, 0x7, 0xB, 0x6}, /* CL = 10 */
453 }
454 };
Damien Zammit4b513a62015-08-20 00:37:05 +1000455
Arthur Heymans66a0f552017-05-15 10:33:01 +0200456 /* [DDR freq][0x26F & 1][pagemod] */
Elyes HAOUAS68ec3eb2019-06-22 09:21:18 +0200457 static const u8 ddr2_x252_tab[2][2][2] = {
Arthur Heymans66a0f552017-05-15 10:33:01 +0200458 { /* DDR2 667 */
459 {12, 16},
460 {14, 18}
461 },
462 { /* DDR2 800 */
463 {14, 18},
464 {16, 20}
465 }
466 };
467
Elyes HAOUAS68ec3eb2019-06-22 09:21:18 +0200468 static const u8 ddr3_x252_tab[3][2][2] = {
Arthur Heymans66a0f552017-05-15 10:33:01 +0200469 { /* DDR3 800 */
470 {16, 20},
471 {18, 22}
472 },
473 { /* DDR3 1067 */
474 {20, 26},
475 {26, 26}
476 },
477 { /* DDR3 1333 */
478 {20, 30},
479 {22, 32},
480 }
481 };
482
483 if (s->spd_type == DDR2) {
484 ta1 = 6;
485 ta2 = 6;
486 ta3 = 5;
487 ta4 = 8;
488 } else {
489 int ddr3_idx = s->selected_timings.mem_clk - MEM_CLOCK_800MHz;
490 int cas_idx = s->selected_timings.CAS - 5;
491 ta1 = ddr3_turnaround_tab[ddr3_idx][cas_idx][0];
492 ta2 = ddr3_turnaround_tab[ddr3_idx][cas_idx][1];
493 ta3 = ddr3_turnaround_tab[ddr3_idx][cas_idx][2];
494 ta4 = ddr3_turnaround_tab[ddr3_idx][cas_idx][3];
495 }
496
497 if (s->spd_type == DDR2)
498 twl = s->selected_timings.CAS - 1;
499 else /* DDR3 */
500 twl = s->selected_timings.mem_clk - MEM_CLOCK_800MHz + 5;
Damien Zammit4b513a62015-08-20 00:37:05 +1000501
502 FOR_EACH_POPULATED_DIMM(s->dimms, i) {
Arthur Heymans3cf94032017-04-05 16:17:26 +0200503 if (s->dimms[i].n_banks == N_BANKS_8) {
Damien Zammit4b513a62015-08-20 00:37:05 +1000504 trpmod = 1;
505 bankmod = 0;
506 }
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100507 if (s->dimms[i].page_size == 2048)
Damien Zammit4b513a62015-08-20 00:37:05 +1000508 pagemod = 1;
Damien Zammit4b513a62015-08-20 00:37:05 +1000509 }
510
511 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Felix Held432575c2018-07-29 18:09:30 +0200512 MCHBAR8_OR(0x400*i + 0x26f, 0x3);
513 MCHBAR8_AND_OR(0x400*i + 0x228, ~0x7, 0x2);
514 /* tWL - x ?? */
515 MCHBAR8_AND_OR(0x400*i + 0x240, ~0xf0, 0 << 4);
Felix Held3a2f9002018-07-29 18:51:22 +0200516 MCHBAR8_AND_OR(0x400*i + 0x240, ~0xf, adjusted_cas);
517 MCHBAR16_AND_OR(0x400*i + 0x265, ~0x3f00,
518 (adjusted_cas + 9) << 8);
Damien Zammit4b513a62015-08-20 00:37:05 +1000519
520 reg16 = (s->selected_timings.tRAS << 11) |
521 ((twl + 4 + s->selected_timings.tWR) << 6) |
522 ((2 + MAX(s->selected_timings.tRTP, 2)) << 2) | 1;
523 MCHBAR16(0x400*i + 0x250) = reg16;
524
525 reg32 = (bankmod << 21) |
526 (s->selected_timings.tRRD << 17) |
527 (s->selected_timings.tRP << 13) |
528 ((s->selected_timings.tRP + trpmod) << 9) |
529 s->selected_timings.tRFC;
Arthur Heymans66a0f552017-05-15 10:33:01 +0200530 if (bankmod == 0) {
531 reg8 = (MCHBAR8(0x400*i + 0x26f) >> 1) & 1;
532 if (s->spd_type == DDR2)
533 reg32 |= ddr2_x252_tab[s->selected_timings.mem_clk
534 - MEM_CLOCK_667MHz][reg8][pagemod]
535 << 22;
536 else
537 reg32 |= ddr3_x252_tab[s->selected_timings.mem_clk
538 - MEM_CLOCK_800MHz][reg8][pagemod]
539 << 22;
Damien Zammit4b513a62015-08-20 00:37:05 +1000540 }
541 MCHBAR32(0x400*i + 0x252) = reg32;
542
543 MCHBAR16(0x400*i + 0x256) = (s->selected_timings.tRCD << 12) |
544 (0x4 << 8) | (ta2 << 4) | ta4;
545
546 MCHBAR32(0x400*i + 0x258) = (s->selected_timings.tRCD << 17) |
547 ((twl + 4 + s->selected_timings.tWTR) << 12) |
548 (ta3 << 8) | (4 << 4) | ta1;
549
550 MCHBAR16(0x400*i + 0x25b) = ((s->selected_timings.tRP + trpmod) << 9) |
551 s->selected_timings.tRFC;
552
Felix Held3a2f9002018-07-29 18:51:22 +0200553 MCHBAR16_AND_OR(0x400*i + 0x260, ~0x3fe,
554 (s->spd_type == DDR2 ? 100 : 256) << 1);
Damien Zammit4b513a62015-08-20 00:37:05 +1000555 MCHBAR8(0x400*i + 0x264) = 0xff;
Felix Held3a2f9002018-07-29 18:51:22 +0200556 MCHBAR8_AND_OR(0x400*i + 0x25d, ~0x3f,
557 s->selected_timings.tRAS);
Damien Zammit4b513a62015-08-20 00:37:05 +1000558 MCHBAR16(0x400*i + 0x244) = 0x2310;
559
560 switch (s->selected_timings.mem_clk) {
561 case MEM_CLOCK_667MHz:
562 reg8 = 0;
563 break;
564 default:
565 reg8 = 1;
566 break;
567 }
568
Felix Held3a2f9002018-07-29 18:51:22 +0200569 MCHBAR8_AND_OR(0x400*i + 0x246, ~0x1f, (reg8 << 2) | 1);
Damien Zammit4b513a62015-08-20 00:37:05 +1000570
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200571 fsb = fsb_to_ps[s->selected_timings.fsb_clk];
572 ddr = ddr_to_ps[s->selected_timings.mem_clk];
Arthur Heymans66a0f552017-05-15 10:33:01 +0200573 reg32 = (u32)((s->selected_timings.CAS + 7 + reg8) * ddr);
Damien Zammit4b513a62015-08-20 00:37:05 +1000574 reg32 = (u32)((reg32 / fsb) << 8);
575 reg32 |= 0x0e000000;
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200576 if ((fsb_to_mhz(s->selected_timings.fsb_clk) /
577 ddr_to_mhz(s->selected_timings.mem_clk)) > 2) {
Damien Zammit4b513a62015-08-20 00:37:05 +1000578 reg32 |= 1 << 24;
579 }
Felix Held3a2f9002018-07-29 18:51:22 +0200580 MCHBAR32_AND_OR(0x400*i + 0x248, ~0x0f001f00, reg32);
Damien Zammit4b513a62015-08-20 00:37:05 +1000581
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100582 if (twl > 2)
Damien Zammit4b513a62015-08-20 00:37:05 +1000583 flag1 = 1;
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100584
585 if (s->selected_timings.mem_clk >= MEM_CLOCK_800MHz)
Damien Zammit4b513a62015-08-20 00:37:05 +1000586 flag2 = 1;
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100587
Damien Zammit4b513a62015-08-20 00:37:05 +1000588 reg16 = (u8)(twl - 1 - flag1 - flag2);
589 reg16 |= reg16 << 4;
590 if (s->selected_timings.mem_clk == MEM_CLOCK_1333MHz) {
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100591 if (reg16)
Damien Zammit4b513a62015-08-20 00:37:05 +1000592 reg16--;
Damien Zammit4b513a62015-08-20 00:37:05 +1000593 }
594 reg16 |= flag1 << 8;
595 reg16 |= flag2 << 9;
Felix Held432575c2018-07-29 18:09:30 +0200596 MCHBAR16_AND_OR(0x400*i + 0x24d, ~0x1ff, reg16);
Damien Zammit4b513a62015-08-20 00:37:05 +1000597 MCHBAR16(0x400*i + 0x25e) = 0x15a5;
Felix Held432575c2018-07-29 18:09:30 +0200598 MCHBAR32_AND(0x400*i + 0x265, ~0x1f);
599 MCHBAR32_AND_OR(0x400*i + 0x269, ~0x000fffff,
600 (0x3f << 14) | lut1[s->selected_timings.mem_clk]);
601 MCHBAR8_OR(0x400*i + 0x274, 1);
602 MCHBAR8_AND(0x400*i + 0x24c, ~0x3);
Damien Zammit4b513a62015-08-20 00:37:05 +1000603
604 reg16 = 0;
Arthur Heymans638240e2017-12-25 18:14:46 +0100605 if (s->spd_type == DDR2) {
606 switch (s->selected_timings.mem_clk) {
607 default:
608 case MEM_CLOCK_667MHz:
609 reg16 = 0x99;
610 break;
611 case MEM_CLOCK_800MHz:
612 if (s->selected_timings.CAS == 5)
613 reg16 = 0x19a;
614 else if (s->selected_timings.CAS == 6)
615 reg16 = 0x9a;
616 break;
617 }
618 } else { /* DDR3 */
619 switch (s->selected_timings.mem_clk) {
620 default:
621 case MEM_CLOCK_800MHz:
622 case MEM_CLOCK_1066MHz:
623 reg16 = 1;
624 break;
625 case MEM_CLOCK_1333MHz:
626 reg16 = 2;
627 break;
628 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000629 }
Arthur Heymans638240e2017-12-25 18:14:46 +0100630
Damien Zammit4b513a62015-08-20 00:37:05 +1000631 reg16 &= 0x7;
632 reg16 += twl + 9;
633 reg16 <<= 10;
Felix Held432575c2018-07-29 18:09:30 +0200634 MCHBAR16_AND_OR(0x400*i + 0x24d, ~0x7c00, reg16);
635 MCHBAR8_AND_OR(0x400*i + 0x267, ~0x3f, 0x13);
636 MCHBAR8_AND_OR(0x400*i + 0x268, ~0xff, 0x4a);
Damien Zammit4b513a62015-08-20 00:37:05 +1000637
638 reg16 = (MCHBAR16(0x400*i + 0x269) & 0xc000) >> 2;
639 reg16 += 2 << 12;
640 reg16 |= (0x15 << 6) | 0x1f;
Felix Held432575c2018-07-29 18:09:30 +0200641 MCHBAR16_AND_OR(0x400*i + 0x26d, ~0x7fff, reg16);
Damien Zammit4b513a62015-08-20 00:37:05 +1000642
643 reg32 = (1 << 25) | (6 << 27);
Felix Held432575c2018-07-29 18:09:30 +0200644 MCHBAR32_AND_OR(0x400*i + 0x269, ~0xfa300000, reg32);
645 MCHBAR8_AND(0x400*i + 0x271, ~0x80);
646 MCHBAR8_AND(0x400*i + 0x274, ~0x6);
Angel Pons9d20c842021-01-13 12:39:37 +0100647 } /* END EACH POPULATED CHANNEL */
Damien Zammit4b513a62015-08-20 00:37:05 +1000648
649 reg16 = 0x1f << 5;
650 reg16 |= 0xe << 10;
Felix Held432575c2018-07-29 18:09:30 +0200651 MCHBAR16_AND_OR(0x125, ~0x3fe0, reg16);
652 MCHBAR16_AND_OR(0x127, ~0x7ff, 0x540);
653 MCHBAR8_OR(0x129, 0x1f);
654 MCHBAR8_OR(0x12c, 0xa0);
655 MCHBAR32_AND_OR(0x241, ~0x1ffff, 0x11);
656 MCHBAR32_AND_OR(0x641, ~0x1ffff, 0x11);
657 MCHBAR8_AND(0x246, ~0x10);
658 MCHBAR8_AND(0x646, ~0x10);
Damien Zammit4b513a62015-08-20 00:37:05 +1000659 MCHBAR32(0x120) = (2 << 29) | (1 << 28) | (1 << 23) | 0xd7f5f;
660 reg8 = (u8)((MCHBAR32(0x252) & 0x1e000) >> 13);
Felix Held432575c2018-07-29 18:09:30 +0200661 MCHBAR8_AND_OR(0x12d, ~0xf0, reg8 << 4);
Arthur Heymanseee4f6b2017-01-03 00:49:45 +0100662 reg8 = (u8)((MCHBAR32(0x258) & 0x1e0000) >> 17);
Felix Held432575c2018-07-29 18:09:30 +0200663 MCHBAR8_AND_OR(0x12d, ~0xf, reg8);
Damien Zammit4b513a62015-08-20 00:37:05 +1000664 MCHBAR8(0x12f) = 0x4c;
665 reg32 = (1 << 31) | (0x80 << 14) | (1 << 13) | (0xa << 9);
Arthur Heymans638240e2017-12-25 18:14:46 +0100666 if (s->spd_type == DDR3) {
667 MCHBAR8(0x114) = 0x42;
668 reg16 = (512 - MAX(5, s->selected_timings.tRFC + 10000
Elyes HAOUASe951e8e2019-06-15 11:03:00 +0200669 / ddr_to_ps[s->selected_timings.mem_clk]))
Arthur Heymans638240e2017-12-25 18:14:46 +0100670 / 2;
671 reg16 &= 0x1ff;
672 reg32 = (reg16 << 22) | (0x80 << 14) | (0xa << 9);
673 }
Felix Held432575c2018-07-29 18:09:30 +0200674 MCHBAR32_AND_OR(0x6c0, ~0xffffff00, reg32);
675 MCHBAR8_AND_OR(0x6c4, ~0x7, 0x2);
Damien Zammit4b513a62015-08-20 00:37:05 +1000676}
677
Arthur Heymansa2cc2312017-05-15 10:13:36 +0200678static void program_dll(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +1000679{
Arthur Heymans37689fa2017-05-17 14:07:10 +0200680 u8 i, j, r, reg8, clk, async = 0;
Damien Zammit4b513a62015-08-20 00:37:05 +1000681 u16 reg16 = 0;
682 u32 reg32 = 0;
Damien Zammit4b513a62015-08-20 00:37:05 +1000683
Arthur Heymans638240e2017-12-25 18:14:46 +0100684 const u8 rank2clken[8] = { 0x04, 0x01, 0x20, 0x08, 0x01, 0x04,
685 0x08, 0x10 };
686
Felix Held432575c2018-07-29 18:09:30 +0200687 MCHBAR16_AND_OR(0x180, ~0x7e06, 0xc04);
688 MCHBAR16_AND_OR(0x182, ~0x3ff, 0xc8);
689 MCHBAR16_AND_OR(0x18a, ~0x1f1f, 0x0f0f);
690 MCHBAR16_AND_OR(0x1b4, ~0x8020, 0x100);
691 MCHBAR8_AND_OR(0x194, ~0x77, 0x33);
Damien Zammit4b513a62015-08-20 00:37:05 +1000692 switch (s->selected_timings.mem_clk) {
693 default:
694 case MEM_CLOCK_667MHz:
Arthur Heymans638240e2017-12-25 18:14:46 +0100695 case MEM_CLOCK_1333MHz:
Damien Zammit4b513a62015-08-20 00:37:05 +1000696 reg16 = (0xa << 9) | 0xa;
697 break;
698 case MEM_CLOCK_800MHz:
699 reg16 = (0x9 << 9) | 0x9;
700 break;
Arthur Heymans638240e2017-12-25 18:14:46 +0100701 case MEM_CLOCK_1066MHz:
702 reg16 = (0x7 << 9) | 0x7;
703 break;
Damien Zammit4b513a62015-08-20 00:37:05 +1000704 }
Felix Held432575c2018-07-29 18:09:30 +0200705 MCHBAR16_AND_OR(0x19c, ~0x1e0f, reg16);
706 MCHBAR16_AND_OR(0x19c, ~0x2030, 0x2010);
Damien Zammit4b513a62015-08-20 00:37:05 +1000707 udelay(1);
Felix Held432575c2018-07-29 18:09:30 +0200708 MCHBAR16_AND(0x198, ~0x100);
Damien Zammit4b513a62015-08-20 00:37:05 +1000709
Felix Held432575c2018-07-29 18:09:30 +0200710 MCHBAR16_AND_OR(0x1c8, ~0x1f, 0xd);
Damien Zammit4b513a62015-08-20 00:37:05 +1000711
712 udelay(1);
Felix Held432575c2018-07-29 18:09:30 +0200713 MCHBAR8_AND(0x190, ~1);
Angel Pons9d20c842021-01-13 12:39:37 +0100714 udelay(1); /* 533ns */
Felix Held432575c2018-07-29 18:09:30 +0200715 MCHBAR32_AND(0x198, ~0x11554000);
Damien Zammit4b513a62015-08-20 00:37:05 +1000716 udelay(1);
Felix Held432575c2018-07-29 18:09:30 +0200717 MCHBAR32_AND(0x198, ~0x1455);
Damien Zammit4b513a62015-08-20 00:37:05 +1000718 udelay(1);
Felix Held432575c2018-07-29 18:09:30 +0200719 MCHBAR8_AND(0x583, ~0x1c);
720 MCHBAR8_AND(0x983, ~0x1c);
Angel Pons9d20c842021-01-13 12:39:37 +0100721 udelay(1); /* 533ns */
Felix Held432575c2018-07-29 18:09:30 +0200722 MCHBAR8_AND(0x583, ~0x3);
723 MCHBAR8_AND(0x983, ~0x3);
Angel Pons9d20c842021-01-13 12:39:37 +0100724 udelay(1); /* 533ns */
Damien Zammit4b513a62015-08-20 00:37:05 +1000725
Angel Pons9d20c842021-01-13 12:39:37 +0100726 /* ME related */
Felix Held432575c2018-07-29 18:09:30 +0200727 MCHBAR32_AND_OR(0x1a0, ~0x7ffffff,
728 s->spd_type == DDR2 ? 0x551803 : 0x555801);
Damien Zammit4b513a62015-08-20 00:37:05 +1000729
Felix Held432575c2018-07-29 18:09:30 +0200730 MCHBAR16_AND(0x1b4, ~0x800);
Arthur Heymans638240e2017-12-25 18:14:46 +0100731 if (s->spd_type == DDR2) {
Felix Held432575c2018-07-29 18:09:30 +0200732 MCHBAR8_OR(0x1a8, 0xf0);
Arthur Heymans638240e2017-12-25 18:14:46 +0100733 } else { /* DDR3 */
734 reg8 = 0x9; /* 0x9 << 4 ?? */
735 if (s->dimms[0].ranks == 2)
736 reg8 &= ~0x80;
737 if (s->dimms[3].ranks == 2)
738 reg8 &= ~0x10;
Felix Held432575c2018-07-29 18:09:30 +0200739 MCHBAR8_AND_OR(0x1a8, ~0xf0, reg8);
Arthur Heymans638240e2017-12-25 18:14:46 +0100740 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000741
742 FOR_EACH_CHANNEL(i) {
743 reg16 = 0;
Arthur Heymans638240e2017-12-25 18:14:46 +0100744 if ((s->spd_type == DDR3) && (i == 0))
745 reg16 = (0x3 << 12);
Felix Held3a2f9002018-07-29 18:51:22 +0200746 MCHBAR16_AND_OR(0x400*i + 0x59c, ~0x3000, reg16);
Damien Zammit4b513a62015-08-20 00:37:05 +1000747
748 reg32 = 0;
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100749 FOR_EACH_RANK_IN_CHANNEL(r) {
750 if (!RANK_IS_POPULATED(s->dimms, i, r))
751 reg32 |= 0x111 << r;
Damien Zammit4b513a62015-08-20 00:37:05 +1000752 }
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100753
Felix Held432575c2018-07-29 18:09:30 +0200754 MCHBAR32_AND_OR(0x400*i + 0x59c, ~0xfff, reg32);
755 MCHBAR8_AND(0x400*i + 0x594, ~1);
Damien Zammit4b513a62015-08-20 00:37:05 +1000756
Arthur Heymans638240e2017-12-25 18:14:46 +0100757 if (s->spd_type == DDR2) {
758 if (!CHANNEL_IS_POPULATED(s->dimms, i)) {
759 printk(BIOS_DEBUG,
760 "No dimms in channel %d\n", i);
761 reg8 = 0x3f;
762 } else if (ONLY_DIMMA_IS_POPULATED(s->dimms, i)) {
763 printk(BIOS_DEBUG,
764 "DimmA populated only in channel %d\n",
765 i);
766 reg8 = 0x38;
767 } else if (ONLY_DIMMB_IS_POPULATED(s->dimms, i)) {
768 printk(BIOS_DEBUG,
769 "DimmB populated only in channel %d\n",
770 i);
771 reg8 = 0x7;
772 } else if (BOTH_DIMMS_ARE_POPULATED(s->dimms, i)) {
773 printk(BIOS_DEBUG,
774 "Both dimms populated in channel %d\n",
775 i);
776 reg8 = 0;
777 } else {
778 die("Unhandled case\n");
779 }
Felix Held432575c2018-07-29 18:09:30 +0200780 MCHBAR32_AND_OR(0x400*i + 0x5a0, ~0x3f000000,
781 (u32)(reg8 << 24));
Arthur Heymans638240e2017-12-25 18:14:46 +0100782
783 } else { /* DDR3 */
784 FOR_EACH_POPULATED_RANK_IN_CHANNEL(s->dimms, i, r) {
Felix Held3a2f9002018-07-29 18:51:22 +0200785 MCHBAR8_AND(0x400 * i + 0x5a0 + 3,
786 ~rank2clken[r + i * 4]);
Arthur Heymans638240e2017-12-25 18:14:46 +0100787 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000788 }
Angel Pons9d20c842021-01-13 12:39:37 +0100789 } /* END EACH CHANNEL */
Damien Zammit4b513a62015-08-20 00:37:05 +1000790
Arthur Heymans638240e2017-12-25 18:14:46 +0100791 if (s->spd_type == DDR2) {
Felix Held432575c2018-07-29 18:09:30 +0200792 MCHBAR8_OR(0x1a8, 1);
793 MCHBAR8_AND(0x1a8, ~0x4);
Arthur Heymans638240e2017-12-25 18:14:46 +0100794 } else { /* DDR3 */
Felix Held432575c2018-07-29 18:09:30 +0200795 MCHBAR8_AND(0x1a8, ~1);
796 MCHBAR8_OR(0x1a8, 0x4);
Arthur Heymans638240e2017-12-25 18:14:46 +0100797 }
Damien Zammit4b513a62015-08-20 00:37:05 +1000798
Angel Pons9d20c842021-01-13 12:39:37 +0100799 /* Update DLL timing */
Felix Held432575c2018-07-29 18:09:30 +0200800 MCHBAR8_AND(0x1a4, ~0x80);
801 MCHBAR8_OR(0x1a4, 0x40);
802 MCHBAR16_AND_OR(0x5f0, ~0x400, 0x400);
Damien Zammit4b513a62015-08-20 00:37:05 +1000803
Damien Zammit4b513a62015-08-20 00:37:05 +1000804 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Felix Held432575c2018-07-29 18:09:30 +0200805 MCHBAR16_AND_OR(0x400*i + 0x5f0, ~0x3fc, 0x3fc);
806 MCHBAR32_AND(0x400*i + 0x5fc, ~0xcccccccc);
807 MCHBAR8_AND_OR(0x400*i + 0x5d9, ~0xf0,
808 s->spd_type == DDR2 ? 0x70 : 0x60);
809 MCHBAR16_AND_OR(0x400*i + 0x590, ~0xffff,
810 s->spd_type == DDR2 ? 0x5555 : 0xa955);
Damien Zammit4b513a62015-08-20 00:37:05 +1000811 }
812
813 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Jonathan Neuschäfer7be74db2018-02-12 12:00:40 +0100814 const struct dll_setting *setting;
815
Elyes HAOUAS0ce41f12018-11-13 10:03:31 +0100816 switch (s->selected_timings.mem_clk) {
Arthur Heymans638240e2017-12-25 18:14:46 +0100817 default: /* Should not happen */
818 case MEM_CLOCK_667MHz:
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100819 setting = default_ddr2_667_ctrl;
Arthur Heymans638240e2017-12-25 18:14:46 +0100820 break;
821 case MEM_CLOCK_800MHz:
822 if (s->spd_type == DDR2)
823 setting = default_ddr2_800_ctrl;
824 else
825 setting = default_ddr3_800_ctrl[s->nmode - 1];
826 break;
827 case MEM_CLOCK_1066MHz:
828 setting = default_ddr3_1067_ctrl[s->nmode - 1];
829 break;
830 case MEM_CLOCK_1333MHz:
831 setting = default_ddr3_1333_ctrl[s->nmode - 1];
832 break;
833 }
Jonathan Neuschäfer7be74db2018-02-12 12:00:40 +0100834
835 clkset0(i, &setting[CLKSET0]);
836 clkset1(i, &setting[CLKSET1]);
837 ctrlset0(i, &setting[CTRL0]);
838 ctrlset1(i, &setting[CTRL1]);
839 ctrlset2(i, &setting[CTRL2]);
840 ctrlset3(i, &setting[CTRL3]);
841 cmdset(i, &setting[CMD]);
Damien Zammit4b513a62015-08-20 00:37:05 +1000842 }
843
Angel Pons9d20c842021-01-13 12:39:37 +0100844 /* XXX if not async mode */
Felix Held432575c2018-07-29 18:09:30 +0200845 MCHBAR16_AND(0x180, ~0x8200);
846 MCHBAR8_OR(0x180, 0x4);
Damien Zammit4b513a62015-08-20 00:37:05 +1000847 j = 0;
848 for (i = 0; i < 16; i++) {
Felix Held432575c2018-07-29 18:09:30 +0200849 MCHBAR8_AND_OR(0x1c8, ~0x1f, i);
850 MCHBAR8_OR(0x180, 0x10);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100851 while (MCHBAR8(0x180) & 0x10)
852 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000853 if (MCHBAR32(0x184) == 0xffffffff) {
854 j++;
855 if (j >= 2)
856 break;
857
858 if (s->selected_timings.mem_clk == MEM_CLOCK_667MHz) {
859 j = 2;
860 break;
861 }
862 } else {
863 j = 0;
864 }
865 }
866 if (i == 1 || ((i == 0) && s->selected_timings.mem_clk == MEM_CLOCK_667MHz)) {
867 j = 0;
868 i++;
869 for (; i < 16; i++) {
Felix Held432575c2018-07-29 18:09:30 +0200870 MCHBAR8_AND_OR(0x1c8, ~0x1f, i);
871 MCHBAR8_OR(0x180, 0x4);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100872 while (MCHBAR8(0x180) & 0x10)
873 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000874 if (MCHBAR32(0x184) == 0) {
875 i++;
876 break;
877 }
878 }
879 for (; i < 16; i++) {
Felix Held432575c2018-07-29 18:09:30 +0200880 MCHBAR8_AND_OR(0x1c8, ~0x1f, i);
881 MCHBAR8_OR(0x180, 0x10);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100882 while (MCHBAR8(0x180) & 0x10)
883 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000884 if (MCHBAR32(0x184) == 0xffffffff) {
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100885 j++;
Damien Zammit4b513a62015-08-20 00:37:05 +1000886 if (j >= 2)
887 break;
888 } else {
889 j = 0;
890 }
891 }
892 if (j < 2) {
Felix Held432575c2018-07-29 18:09:30 +0200893 MCHBAR8_AND(0x1c8, ~0x1f);
894 MCHBAR8_OR(0x180, 0x10);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100895 while (MCHBAR8(0x180) & 0x10)
896 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000897 j = 2;
898 }
899 }
900
901 if (j < 2) {
Felix Held432575c2018-07-29 18:09:30 +0200902 MCHBAR8_AND(0x1c8, ~0x1f);
Damien Zammit4b513a62015-08-20 00:37:05 +1000903 async = 1;
904 }
905
Arthur Heymans638240e2017-12-25 18:14:46 +0100906 switch (s->selected_timings.mem_clk) {
907 case MEM_CLOCK_667MHz:
908 clk = 0x1a;
909 if (async != 1) {
910 if (s->selected_timings.fsb_clk == FSB_CLOCK_800MHz)
911 clk = 0x10;
Damien Zammit4b513a62015-08-20 00:37:05 +1000912 }
Arthur Heymans638240e2017-12-25 18:14:46 +0100913 break;
914 case MEM_CLOCK_800MHz:
915 case MEM_CLOCK_1066MHz:
916 if (async != 1)
917 clk = 0x10;
918 else
919 clk = 0x1a;
920 break;
921 case MEM_CLOCK_1333MHz:
922 clk = 0x18;
923 break;
924 default:
925 clk = 0x1a;
926 break;
Damien Zammit4b513a62015-08-20 00:37:05 +1000927 }
Arthur Heymans638240e2017-12-25 18:14:46 +0100928
Felix Held432575c2018-07-29 18:09:30 +0200929 MCHBAR8_AND(0x180, ~0x80);
Damien Zammit4b513a62015-08-20 00:37:05 +1000930
Arthur Heymans638240e2017-12-25 18:14:46 +0100931 if ((s->spd_type == DDR3 && s->selected_timings.mem_clk == MEM_CLOCK_1066MHz)
932 || (s->spd_type == DDR2 && s->selected_timings.fsb_clk == FSB_CLOCK_800MHz
933 && s->selected_timings.mem_clk == MEM_CLOCK_667MHz)) {
Arthur Heymans24798a12017-08-13 16:02:09 +0200934 i = MCHBAR8(0x1c8) & 0xf;
Arthur Heymans638240e2017-12-25 18:14:46 +0100935 if (s->spd_type == DDR2)
936 i = (i + 10) % 14;
937 else /* DDR3 */
938 i = (i + 3) % 12;
Felix Held432575c2018-07-29 18:09:30 +0200939 MCHBAR8_AND_OR(0x1c8, ~0x1f, i);
940 MCHBAR8_OR(0x180, 0x10);
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100941 while (MCHBAR8(0x180) & 0x10)
942 ;
Damien Zammit4b513a62015-08-20 00:37:05 +1000943 }
944
945 reg8 = MCHBAR8(0x188) & ~1;
946 MCHBAR8(0x188) = reg8;
947 reg8 &= ~0x3e;
948 reg8 |= clk;
949 MCHBAR8(0x188) = reg8;
950 reg8 |= 1;
951 MCHBAR8(0x188) = reg8;
952
Arthur Heymans70a1dda2017-03-09 01:58:24 +0100953 if (s->selected_timings.mem_clk == MEM_CLOCK_1333MHz)
Felix Held432575c2018-07-29 18:09:30 +0200954 MCHBAR8_OR(0x18c, 1);
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100955}
Damien Zammit4b513a62015-08-20 00:37:05 +1000956
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100957static void select_default_dq_dqs_settings(struct sysinfo *s)
958{
959 int ch, lane;
960
Arthur Heymans276049f2017-11-05 05:56:34 +0100961 FOR_EACH_POPULATED_CHANNEL_AND_BYTELANE(s->dimms, ch, lane) {
962 switch (s->selected_timings.mem_clk) {
963 case MEM_CLOCK_667MHz:
964 memcpy(s->dqs_settings[ch],
965 default_ddr2_667_dqs,
966 sizeof(s->dqs_settings[ch]));
967 memcpy(s->dq_settings[ch],
968 default_ddr2_667_dq,
969 sizeof(s->dq_settings[ch]));
970 s->rt_dqs[ch][lane].tap = 7;
971 s->rt_dqs[ch][lane].pi = 2;
972 break;
973 case MEM_CLOCK_800MHz:
974 if (s->spd_type == DDR2) {
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100975 memcpy(s->dqs_settings[ch],
Arthur Heymans276049f2017-11-05 05:56:34 +0100976 default_ddr2_800_dqs,
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100977 sizeof(s->dqs_settings[ch]));
978 memcpy(s->dq_settings[ch],
Arthur Heymans276049f2017-11-05 05:56:34 +0100979 default_ddr2_800_dq,
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100980 sizeof(s->dq_settings[ch]));
981 s->rt_dqs[ch][lane].tap = 7;
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100982 s->rt_dqs[ch][lane].pi = 0;
Arthur Heymans276049f2017-11-05 05:56:34 +0100983 } else { /* DDR3 */
Arthur Heymans638240e2017-12-25 18:14:46 +0100984 memcpy(s->dqs_settings[ch],
985 default_ddr3_800_dqs[s->nmode - 1],
986 sizeof(s->dqs_settings[ch]));
987 memcpy(s->dq_settings[ch],
988 default_ddr3_800_dq[s->nmode - 1],
989 sizeof(s->dq_settings[ch]));
Arthur Heymans276049f2017-11-05 05:56:34 +0100990 s->rt_dqs[ch][lane].tap = 6;
Arthur Heymans638240e2017-12-25 18:14:46 +0100991 s->rt_dqs[ch][lane].pi = 3;
Arthur Heymans0bf87de2017-11-04 06:15:05 +0100992 }
Arthur Heymans276049f2017-11-05 05:56:34 +0100993 break;
994 case MEM_CLOCK_1066MHz:
Arthur Heymans638240e2017-12-25 18:14:46 +0100995 memcpy(s->dqs_settings[ch],
996 default_ddr3_1067_dqs[s->nmode - 1],
997 sizeof(s->dqs_settings[ch]));
998 memcpy(s->dq_settings[ch],
999 default_ddr3_1067_dq[s->nmode - 1],
1000 sizeof(s->dq_settings[ch]));
Arthur Heymans276049f2017-11-05 05:56:34 +01001001 s->rt_dqs[ch][lane].tap = 5;
Arthur Heymans638240e2017-12-25 18:14:46 +01001002 s->rt_dqs[ch][lane].pi = 3;
Arthur Heymans276049f2017-11-05 05:56:34 +01001003 break;
1004 case MEM_CLOCK_1333MHz:
Arthur Heymans638240e2017-12-25 18:14:46 +01001005 memcpy(s->dqs_settings[ch],
1006 default_ddr3_1333_dqs[s->nmode - 1],
1007 sizeof(s->dqs_settings[ch]));
1008 memcpy(s->dq_settings[ch],
1009 default_ddr3_1333_dq[s->nmode - 1],
1010 sizeof(s->dq_settings[ch]));
Arthur Heymans276049f2017-11-05 05:56:34 +01001011 s->rt_dqs[ch][lane].tap = 7;
1012 s->rt_dqs[ch][lane].pi = 0;
1013 break;
1014 default: /* not supported */
1015 break;
Damien Zammit4b513a62015-08-20 00:37:05 +10001016 }
1017 }
Arthur Heymans0bf87de2017-11-04 06:15:05 +01001018}
Damien Zammit4b513a62015-08-20 00:37:05 +10001019
Arthur Heymans0bf87de2017-11-04 06:15:05 +01001020/*
1021 * It looks like only the RT DQS register for the first rank
1022 * is used for all ranks. Just set all the 'unused' RT DQS registers
1023 * to the same as rank 0, out of precaution.
1024 */
1025static void set_all_dq_dqs_dll_settings(struct sysinfo *s)
1026{
Angel Pons9d20c842021-01-13 12:39:37 +01001027 /* Program DQ/DQS dll settings */
Arthur Heymans0bf87de2017-11-04 06:15:05 +01001028 int ch, lane, rank;
1029
1030 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Arthur Heymans276049f2017-11-05 05:56:34 +01001031 FOR_EACH_BYTELANE(lane) {
Arthur Heymans0bf87de2017-11-04 06:15:05 +01001032 FOR_EACH_RANK_IN_CHANNEL(rank) {
1033 rt_set_dqs(ch, lane, rank,
1034 &s->rt_dqs[ch][lane]);
1035 }
1036 dqsset(ch, lane, &s->dqs_settings[ch][lane]);
1037 dqset(ch, lane, &s->dq_settings[ch][lane]);
Damien Zammit4b513a62015-08-20 00:37:05 +10001038 }
1039 }
1040}
1041
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001042static void prog_rcomp(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001043{
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001044 u8 i, j, k, reg8;
1045 const u32 ddr2_x32a[8] = { 0x04040404, 0x06050505, 0x09090807, 0x0D0C0B0A,
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001046 0x04040404, 0x08070605, 0x0C0B0A09, 0x100F0E0D };
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001047 const u16 ddr2_x378[6] = { 0, 0xAAAA, 0x7777, 0x7777, 0x7777, 0x7777 };
1048 const u32 ddr2_x382[6] = { 0, 0x02020202, 0x02020202, 0x02020202, 0x04030303, 0x04030303 };
1049 const u32 ddr2_x386[6] = { 0, 0x03020202, 0x03020202, 0x03020202, 0x05040404, 0x05040404 };
1050 const u32 ddr2_x38a[6] = { 0, 0x04040303, 0x04040303, 0x04040303, 0x07070605, 0x07070605 };
1051 const u32 ddr2_x38e[6] = { 0, 0x06060505, 0x06060505, 0x06060505, 0x09090808, 0x09090808 };
1052 const u32 ddr2_x392[6] = { 0, 0x02020202, 0x02020202, 0x02020202, 0x03030202, 0x03030202 };
1053 const u32 ddr2_x396[6] = { 0, 0x03030202, 0x03030202, 0x03030202, 0x05040303, 0x05040303 };
1054 const u32 ddr2_x39a[6] = { 0, 0x04040403, 0x04040403, 0x04040403, 0x07070605, 0x07070605 };
1055 const u32 ddr2_x39e[6] = { 0, 0x06060505, 0x06060505, 0x06060505, 0x08080808, 0x08080808 };
1056
1057 const u32 ddr3_x32a[8] = {0x06060606, 0x06060606, 0x0b090807, 0x12110f0d,
1058 0x06060606, 0x08070606, 0x0d0b0a09, 0x16161511};
1059 const u16 ddr3_x378[6] = {0, 0xbbbb, 0x6666, 0x6666, 0x6666, 0x6666};
1060 const u32 ddr3_x382[6] = {0, 0x05050505, 0x04040404, 0x04040404, 0x34343434, 0x34343434};
1061 const u32 ddr3_x386[6] = {0, 0x05050505, 0x04040404, 0x04040404, 0x34343434, 0x34343434};
1062 const u32 ddr3_x38a[6] = {0, 0x06060605, 0x07060504, 0x07060504, 0x34343434, 0x34343434};
1063 const u32 ddr3_x38e[6] = {0, 0x09080707, 0x09090808, 0x09090808, 0x34343434, 0x34343434};
1064 const u32 ddr3_x392[6] = {0, 0x05050505, 0x04040404, 0x04040404, 0x34343434, 0x34343434};
1065 const u32 ddr3_x396[6] = {0, 0x05050505, 0x04040404, 0x04040404, 0x34343434, 0x34343434};
1066 const u32 ddr3_x39a[6] = {0, 0x07060606, 0x08070605, 0x08070605, 0x34343434, 0x34343434};
1067 const u32 ddr3_x39e[6] = {0, 0x09090807, 0x0b0b0a09, 0x0b0b0a09, 0x34343434, 0x34343434};
1068
1069 const u16 *x378;
1070 const u32 *x32a, *x382, *x386, *x38a, *x38e;
1071 const u32 *x392, *x396, *x39a, *x39e;
1072
1073 const u16 addr[6] = { 0x31c, 0x374, 0x3a2, 0x3d0, 0x3fe, 0x42c };
Damien Zammit4b513a62015-08-20 00:37:05 +10001074 u8 bit[6] = { 0, 0, 1, 1, 0, 0 };
1075
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001076 if (s->spd_type == DDR2) {
1077 x32a = ddr2_x32a;
1078 x378 = ddr2_x378;
1079 x382 = ddr2_x382;
1080 x386 = ddr2_x386;
1081 x38a = ddr2_x38a;
1082 x38e = ddr2_x38e;
1083 x392 = ddr2_x392;
1084 x396 = ddr2_x396;
1085 x39a = ddr2_x39a;
1086 x39e = ddr2_x39e;
1087 } else { /* DDR3 */
1088 x32a = ddr3_x32a;
1089 x378 = ddr3_x378;
1090 x382 = ddr3_x382;
1091 x386 = ddr3_x386;
1092 x38a = ddr3_x38a;
1093 x38e = ddr3_x38e;
1094 x392 = ddr3_x392;
1095 x396 = ddr3_x396;
1096 x39a = ddr3_x39a;
1097 x39e = ddr3_x39e;
1098 }
1099
Damien Zammit4b513a62015-08-20 00:37:05 +10001100 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
1101 for (j = 0; j < 6; j++) {
1102 if (j == 0) {
Felix Held3a2f9002018-07-29 18:51:22 +02001103 MCHBAR32_AND_OR(0x400*i + addr[j], ~0xff000,
1104 0xaa000);
Felix Held432575c2018-07-29 18:09:30 +02001105 MCHBAR16_AND_OR(0x400*i + 0x320, ~0xffff,
1106 0x6666);
Damien Zammit4b513a62015-08-20 00:37:05 +10001107 for (k = 0; k < 8; k++) {
Felix Held3a2f9002018-07-29 18:51:22 +02001108 MCHBAR32_AND_OR(0x400*i + addr[j] +
1109 0xe + (k << 2),
1110 ~0x3f3f3f3f, x32a[k]);
1111 MCHBAR32_AND_OR(0x400*i + addr[j] +
1112 0x2e + (k << 2),
1113 ~0x3f3f3f3f, x32a[k]);
Damien Zammit4b513a62015-08-20 00:37:05 +10001114 }
1115 } else {
Felix Held3a2f9002018-07-29 18:51:22 +02001116 MCHBAR16_AND_OR(0x400*i + addr[j],
1117 ~0xf000, 0xa000);
1118 MCHBAR16_AND_OR(0x400*i + addr[j] + 4,
1119 ~0xffff, x378[j]);
1120 MCHBAR32_AND_OR(0x400*i + addr[j] + 0xe,
1121 ~0x3f3f3f3f, x382[j]);
1122 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x12,
1123 ~0x3f3f3f3f, x386[j]);
1124 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x16,
1125 ~0x3f3f3f3f, x38a[j]);
1126 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x1a,
1127 ~0x3f3f3f3f, x38e[j]);
1128 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x1e,
1129 ~0x3f3f3f3f, x392[j]);
1130 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x22,
1131 ~0x3f3f3f3f, x396[j]);
1132 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x26,
1133 ~0x3f3f3f3f, x39a[j]);
1134 MCHBAR32_AND_OR(0x400*i + addr[j] + 0x2a,
1135 ~0x3f3f3f3f, x39e[j]);
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001136 }
Felix Held3a2f9002018-07-29 18:51:22 +02001137 if (s->spd_type == DDR3 &&
1138 BOTH_DIMMS_ARE_POPULATED(s->dimms, i)) {
1139 MCHBAR16_AND_OR(0x378 + 0x400 * i,
1140 ~0xffff, 0xcccc);
Damien Zammit4b513a62015-08-20 00:37:05 +10001141 }
Felix Held3a2f9002018-07-29 18:51:22 +02001142 MCHBAR8_AND_OR(0x400*i + addr[j], ~1, bit[j]);
Damien Zammit4b513a62015-08-20 00:37:05 +10001143 }
Arthur Heymans0d1c9b02017-05-15 10:40:42 +02001144 reg8 = (s->spd_type == DDR2) ? 0x12 : 0x36;
Felix Held432575c2018-07-29 18:09:30 +02001145 MCHBAR8_AND_OR(0x400*i + 0x45a, ~0x3f, reg8);
1146 MCHBAR8_AND_OR(0x400*i + 0x45e, ~0x3f, reg8);
1147 MCHBAR8_AND_OR(0x400*i + 0x462, ~0x3f, reg8);
1148 MCHBAR8_AND_OR(0x400*i + 0x466, ~0x3f, reg8);
Angel Pons9d20c842021-01-13 12:39:37 +01001149 } /* END EACH POPULATED CHANNEL */
Damien Zammit4b513a62015-08-20 00:37:05 +10001150
Felix Held432575c2018-07-29 18:09:30 +02001151 MCHBAR32_AND_OR(0x134, ~0x63c00, 0x63c00);
1152 MCHBAR16_AND_OR(0x174, ~0x63ff, 0x63ff);
Damien Zammit4b513a62015-08-20 00:37:05 +10001153 MCHBAR16(0x178) = 0x0135;
Felix Held432575c2018-07-29 18:09:30 +02001154 MCHBAR32_AND_OR(0x130, ~0x7bdffe0, 0x7a9ffa0);
Damien Zammit4b513a62015-08-20 00:37:05 +10001155
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001156 if (!CHANNEL_IS_POPULATED(s->dimms, 0))
Felix Held432575c2018-07-29 18:09:30 +02001157 MCHBAR32_AND(0x130, ~(1 << 27));
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001158 if (!CHANNEL_IS_POPULATED(s->dimms, 1))
Felix Held432575c2018-07-29 18:09:30 +02001159 MCHBAR32_AND(0x130, ~(1 << 28));
Damien Zammit4b513a62015-08-20 00:37:05 +10001160
Felix Held432575c2018-07-29 18:09:30 +02001161 MCHBAR8_OR(0x130, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001162}
1163
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001164static void program_odt(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001165{
1166 u8 i;
Arthur Heymanse6cc21e2017-05-15 10:43:20 +02001167 static u16 ddr2_odt[16][2] = {
Angel Pons9d20c842021-01-13 12:39:37 +01001168 { 0x0000, 0x0000 }, /* NC_NC */
1169 { 0x0000, 0x0001 }, /* x8SS_NC */
1170 { 0x0000, 0x0011 }, /* x8DS_NC */
1171 { 0x0000, 0x0001 }, /* x16SS_NC */
1172 { 0x0004, 0x0000 }, /* NC_x8SS */
1173 { 0x0101, 0x0404 }, /* x8SS_x8SS */
1174 { 0x0101, 0x4444 }, /* x8DS_x8SS */
1175 { 0x0101, 0x0404 }, /* x16SS_x8SS */
1176 { 0x0044, 0x0000 }, /* NC_x8DS */
1177 { 0x1111, 0x0404 }, /* x8SS_x8DS */
1178 { 0x1111, 0x4444 }, /* x8DS_x8DS */
1179 { 0x1111, 0x0404 }, /* x16SS_x8DS */
1180 { 0x0004, 0x0000 }, /* NC_x16SS */
1181 { 0x0101, 0x0404 }, /* x8SS_x16SS */
1182 { 0x0101, 0x4444 }, /* x8DS_x16SS */
1183 { 0x0101, 0x0404 }, /* x16SS_x16SS */
Damien Zammit4b513a62015-08-20 00:37:05 +10001184 };
1185
Arthur Heymanse6cc21e2017-05-15 10:43:20 +02001186 static const u16 ddr3_odt[16][2] = {
Angel Pons9d20c842021-01-13 12:39:37 +01001187 { 0x0000, 0x0000 }, /* NC_NC */
1188 { 0x0000, 0x0001 }, /* x8SS_NC */
1189 { 0x0000, 0x0021 }, /* x8DS_NC */
1190 { 0x0000, 0x0001 }, /* x16SS_NC */
1191 { 0x0004, 0x0000 }, /* NC_x8SS */
1192 { 0x0105, 0x0405 }, /* x8SS_x8SS */
1193 { 0x0105, 0x4465 }, /* x8DS_x8SS */
1194 { 0x0105, 0x0405 }, /* x16SS_x8SS */
1195 { 0x0084, 0x0000 }, /* NC_x8DS */
1196 { 0x1195, 0x0405 }, /* x8SS_x8DS */
1197 { 0x1195, 0x4465 }, /* x8DS_x8DS */
1198 { 0x1195, 0x0405 }, /* x16SS_x8DS */
1199 { 0x0004, 0x0000 }, /* NC_x16SS */
1200 { 0x0105, 0x0405 }, /* x8SS_x16SS */
1201 { 0x0105, 0x4465 }, /* x8DS_x16SS */
1202 { 0x0105, 0x0405 }, /* x16SS_x16SS */
Arthur Heymanse6cc21e2017-05-15 10:43:20 +02001203 };
1204
Damien Zammit4b513a62015-08-20 00:37:05 +10001205 FOR_EACH_POPULATED_CHANNEL(s->dimms, i) {
Arthur Heymanse6cc21e2017-05-15 10:43:20 +02001206 if (s->spd_type == DDR2) {
1207 MCHBAR16(0x400 * i + 0x298) =
1208 ddr2_odt[s->dimm_config[i]][1];
1209 MCHBAR16(0x400 * i + 0x294) =
1210 ddr2_odt[s->dimm_config[i]][0];
1211 } else {
1212 MCHBAR16(0x400 * i + 0x298) =
1213 ddr3_odt[s->dimm_config[i]][1];
1214 MCHBAR16(0x400 * i + 0x294) =
1215 ddr3_odt[s->dimm_config[i]][0];
1216 }
1217 u16 reg16 = MCHBAR16(0x400*i + 0x29c);
1218 reg16 &= ~0xfff;
1219 reg16 |= (s->spd_type == DDR2 ? 0x66b : 0x778);
1220 MCHBAR16(0x400*i + 0x29c) = reg16;
Felix Held3a2f9002018-07-29 18:51:22 +02001221 MCHBAR32_AND_OR(0x400*i + 0x260, ~0x70e3c00, 0x3063c00);
Damien Zammit4b513a62015-08-20 00:37:05 +10001222 }
1223}
1224
Arthur Heymans1994e4482017-11-04 07:52:23 +01001225static void pre_jedec_memory_map(void)
1226{
1227 /*
1228 * Configure the memory mapping in stacked mode (channel 1 being mapped
1229 * above channel 0) and with 128M per rank.
1230 * This simplifies dram trainings a lot since those need a test address.
1231 *
1232 * +-------------+ => 0
1233 * | ch 0, rank 0|
1234 * +-------------+ => 0x8000000 (128M)
1235 * | ch 0, rank 1|
1236 * +-------------+ => 0x10000000 (256M)
1237 * | ch 0, rank 2|
1238 * +-------------+ => 0x18000000 (384M)
1239 * | ch 0, rank 3|
1240 * +-------------+ => 0x20000000 (512M)
1241 * | ch 1, rank 0|
1242 * +-------------+ => 0x28000000 (640M)
1243 * | ch 1, rank 1|
1244 * +-------------+ => 0x30000000 (768M)
1245 * | ch 1, rank 2|
1246 * +-------------+ => 0x38000000 (896M)
1247 * | ch 1, rank 3|
1248 * +-------------+
1249 *
1250 * After all trainings are done this is set to the real values specified
1251 * by the SPD.
1252 */
1253 /* Set rank 0-3 populated */
Felix Held432575c2018-07-29 18:09:30 +02001254 MCHBAR32_AND_OR(C0CKECTRL, ~1, 0xf00000);
1255 MCHBAR32_AND_OR(C1CKECTRL, ~1, 0xf00000);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001256 /* Set size of each rank to 128M */
1257 MCHBAR16(C0DRA01) = 0x0101;
1258 MCHBAR16(C0DRA23) = 0x0101;
1259 MCHBAR16(C1DRA01) = 0x0101;
1260 MCHBAR16(C1DRA23) = 0x0101;
1261 MCHBAR16(C0DRB0) = 0x0002;
1262 MCHBAR16(C0DRB1) = 0x0004;
1263 MCHBAR16(C0DRB2) = 0x0006;
1264 MCHBAR16(C0DRB3) = 0x0008;
1265 MCHBAR16(C1DRB0) = 0x0002;
1266 MCHBAR16(C1DRB1) = 0x0004;
1267 MCHBAR16(C1DRB2) = 0x0006;
Arthur Heymans0602ce62018-05-26 14:44:42 +02001268 /* In stacked mode the last present rank on ch1 needs to have its
1269 size doubled in c1drbx */
Arthur Heymans1994e4482017-11-04 07:52:23 +01001270 MCHBAR16(C1DRB3) = 0x0010;
Felix Held432575c2018-07-29 18:09:30 +02001271 MCHBAR8_OR(0x111, STACKED_MEM);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001272 MCHBAR32(0x104) = 0;
1273 MCHBAR16(0x102) = 0x400;
1274 MCHBAR8(0x110) = (2 << 5) | (3 << 3);
1275 MCHBAR16(0x10e) = 0;
1276 MCHBAR32(0x108) = 0;
Angel Ponsd1c590a2020-08-03 16:01:39 +02001277 pci_write_config16(HOST_BRIDGE, D0F0_TOLUD, 0x4000);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001278 /* TOM(64M unit) = 1G = TOTAL_CHANNELS * RANKS_PER_CHANNEL * 128M */
Angel Ponsd1c590a2020-08-03 16:01:39 +02001279 pci_write_config16(HOST_BRIDGE, D0F0_TOM, 0x10);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001280 /* TOUUD(1M unit) = 1G = TOTAL_CHANNELS * RANKS_PER_CHANNEL * 128M */
Angel Ponsd1c590a2020-08-03 16:01:39 +02001281 pci_write_config16(HOST_BRIDGE, D0F0_TOUUD, 0x0400);
1282 pci_write_config32(HOST_BRIDGE, D0F0_GBSM, 0x40000000);
1283 pci_write_config32(HOST_BRIDGE, D0F0_BGSM, 0x40000000);
1284 pci_write_config32(HOST_BRIDGE, D0F0_TSEG, 0x40000000);
Arthur Heymans1994e4482017-11-04 07:52:23 +01001285}
1286
1287u32 test_address(int channel, int rank)
1288{
1289 ASSERT(channel <= 1 && rank < 4);
1290 return channel * 512 * MiB + rank * 128 * MiB;
1291}
1292
Arthur Heymansf1287262017-12-25 18:30:01 +01001293/* DDR3 Rank1 Address mirror
Angel Pons9d20c842021-01-13 12:39:37 +01001294 swap the following pins:
1295 A3<->A4, A5<->A6, A7<->A8, BA0<->BA1 */
Arthur Heymansf1287262017-12-25 18:30:01 +01001296static u32 mirror_shift_bit(const u32 data, u8 bit)
1297{
1298 u32 temp0 = data, temp1 = data;
1299 temp0 &= 1 << bit;
1300 temp0 <<= 1;
1301 temp1 &= 1 << (bit + 1);
1302 temp1 >>= 1;
1303 return (data & ~(3 << bit)) | temp0 | temp1;
1304}
1305
Arthur Heymansb5170c32017-12-25 20:13:28 +01001306void send_jedec_cmd(const struct sysinfo *s, u8 r, u8 ch, u8 cmd, u32 val)
Damien Zammit4b513a62015-08-20 00:37:05 +10001307{
Arthur Heymans1994e4482017-11-04 07:52:23 +01001308 u32 addr = test_address(ch, r);
Arthur Heymansf1287262017-12-25 18:30:01 +01001309 u8 data8 = cmd;
1310 u32 data32;
Damien Zammit4b513a62015-08-20 00:37:05 +10001311
Arthur Heymansf1287262017-12-25 18:30:01 +01001312 if (s->spd_type == DDR3 && (r & 1)
1313 && s->dimms[ch * 2 + (r >> 1)].mirrored) {
1314 data8 = (u8)mirror_shift_bit(data8, 4);
1315 }
1316
Felix Held432575c2018-07-29 18:09:30 +02001317 MCHBAR8_AND_OR(0x271, ~0x3e, data8);
1318 MCHBAR8_AND_OR(0x671, ~0x3e, data8);
Arthur Heymansf1287262017-12-25 18:30:01 +01001319 data32 = val;
1320 if (s->spd_type == DDR3 && (r & 1)
1321 && s->dimms[ch * 2 + (r >> 1)].mirrored) {
1322 data32 = mirror_shift_bit(data32, 3);
1323 data32 = mirror_shift_bit(data32, 5);
1324 data32 = mirror_shift_bit(data32, 7);
1325 }
1326 data32 <<= 3;
1327
Elyes HAOUASc53665c2019-05-22 20:06:30 +02001328 read32((void *)((data32 | addr)));
Damien Zammit4b513a62015-08-20 00:37:05 +10001329 udelay(10);
Felix Held432575c2018-07-29 18:09:30 +02001330 MCHBAR8_AND_OR(0x271, ~0x3e, NORMALOP_CMD);
1331 MCHBAR8_AND_OR(0x671, ~0x3e, NORMALOP_CMD);
Damien Zammit4b513a62015-08-20 00:37:05 +10001332}
1333
1334static void jedec_ddr2(struct sysinfo *s)
1335{
1336 u8 i;
1337 u16 mrsval, ch, r, v;
1338
1339 u8 odt[16][4] = {
1340 {0x00, 0x00, 0x00, 0x00},
1341 {0x01, 0x00, 0x00, 0x00},
1342 {0x01, 0x01, 0x00, 0x00},
1343 {0x01, 0x00, 0x00, 0x00},
1344 {0x00, 0x00, 0x01, 0x00},
1345 {0x11, 0x00, 0x11, 0x00},
1346 {0x11, 0x11, 0x11, 0x00},
1347 {0x11, 0x00, 0x11, 0x00},
1348 {0x00, 0x00, 0x01, 0x01},
1349 {0x11, 0x00, 0x11, 0x11},
1350 {0x11, 0x11, 0x11, 0x11},
1351 {0x11, 0x00, 0x11, 0x11},
1352 {0x00, 0x00, 0x01, 0x00},
1353 {0x11, 0x00, 0x11, 0x00},
1354 {0x11, 0x11, 0x11, 0x00},
1355 {0x11, 0x00, 0x11, 0x00}
1356 };
1357
1358 u16 jedec[12][2] = {
1359 {NOP_CMD, 0x0},
1360 {PRECHARGE_CMD, 0x0},
1361 {EMRS2_CMD, 0x0},
1362 {EMRS3_CMD, 0x0},
1363 {EMRS1_CMD, 0x0},
Angel Pons9d20c842021-01-13 12:39:37 +01001364 {MRS_CMD, 0x100}, /* DLL Reset */
Damien Zammit4b513a62015-08-20 00:37:05 +10001365 {PRECHARGE_CMD, 0x0},
1366 {CBR_CMD, 0x0},
1367 {CBR_CMD, 0x0},
Angel Pons9d20c842021-01-13 12:39:37 +01001368 {MRS_CMD, 0x0}, /* DLL out of reset */
1369 {EMRS1_CMD, 0x380}, /* OCD calib default */
Damien Zammit4b513a62015-08-20 00:37:05 +10001370 {EMRS1_CMD, 0x0}
1371 };
1372
1373 mrsval = (s->selected_timings.CAS << 4) | ((s->selected_timings.tWR - 1) << 9) | 0xb;
1374
1375 printk(BIOS_DEBUG, "MRS...\n");
1376
1377 udelay(200);
1378
1379 FOR_EACH_POPULATED_RANK(s->dimms, ch, r) {
1380 printk(BIOS_DEBUG, "CH%d: Found Rank %d\n", ch, r);
1381 for (i = 0; i < 12; i++) {
1382 v = jedec[i][1];
1383 switch (jedec[i][0]) {
1384 case EMRS1_CMD:
1385 v |= (odt[s->dimm_config[ch]][r] << 2);
1386 break;
1387 case MRS_CMD:
1388 v |= mrsval;
1389 break;
1390 default:
1391 break;
1392 }
Arthur Heymansf1287262017-12-25 18:30:01 +01001393 send_jedec_cmd(s, r, ch, jedec[i][0], v);
Damien Zammit4b513a62015-08-20 00:37:05 +10001394 udelay(1);
Arthur Heymanscfa2eaa2017-03-20 16:32:07 +01001395 printk(RAM_SPEW, "Jedec step %d\n", i);
Damien Zammit4b513a62015-08-20 00:37:05 +10001396 }
1397 }
1398 printk(BIOS_DEBUG, "MRS done\n");
1399}
1400
Arthur Heymansf1287262017-12-25 18:30:01 +01001401static void jedec_ddr3(struct sysinfo *s)
1402{
1403 int ch, r, dimmconfig, cmd, ddr3_freq;
1404
1405 u8 ddr3_emrs2_rtt_wr_config[16][4] = { /* [config][Rank] */
1406 {0, 0, 0, 0}, /* NC_NC */
1407 {0, 0, 0, 0}, /* x8ss_NC */
1408 {0, 0, 0, 0}, /* x8ds_NC */
1409 {0, 0, 0, 0}, /* x16ss_NC */
1410 {0, 0, 0, 0}, /* NC_x8ss */
1411 {2, 0, 2, 0}, /* x8ss_x8ss */
1412 {2, 2, 2, 0}, /* x8ds_x8ss */
1413 {2, 0, 2, 0}, /* x16ss_x8ss */
1414 {0, 0, 0, 0}, /* NC_x8ss */
1415 {2, 0, 2, 2}, /* x8ss_x8ds */
1416 {2, 2, 2, 2}, /* x8ds_x8ds */
1417 {2, 0, 2, 2}, /* x16ss_x8ds */
1418 {0, 0, 0, 0}, /* NC_x16ss */
1419 {2, 0, 2, 0}, /* x8ss_x16ss */
1420 {2, 2, 2, 0}, /* x8ds_x16ss */
1421 {2, 0, 2, 0}, /* x16ss_x16ss */
1422 };
1423
1424 printk(BIOS_DEBUG, "MRS...\n");
1425
1426 ddr3_freq = s->selected_timings.mem_clk - MEM_CLOCK_800MHz;
1427 FOR_EACH_POPULATED_RANK(s->dimms, ch, r) {
1428 printk(BIOS_DEBUG, "CH%d: Found Rank %d\n", ch, r);
1429 send_jedec_cmd(s, r, ch, NOP_CMD, 0);
1430 udelay(200);
1431 dimmconfig = s->dimm_config[ch];
1432 cmd = ddr3_freq << 3; /* actually twl - 5 which is same */
1433 cmd |= ddr3_emrs2_rtt_wr_config[dimmconfig][r] << 9;
1434 send_jedec_cmd(s, r, ch, EMRS2_CMD, cmd);
1435 send_jedec_cmd(s, r, ch, EMRS3_CMD, 0);
1436 cmd = ddr3_emrs1_rtt_nom_config[dimmconfig][r] << 2;
1437 /* Hardcode output drive strength to 34 Ohm / RZQ/7 (why??) */
1438 cmd |= (1 << 1);
1439 send_jedec_cmd(s, r, ch, EMRS1_CMD, cmd);
1440 /* Burst type interleaved, burst length 8, Reset DLL,
Angel Pons9d20c842021-01-13 12:39:37 +01001441 Precharge PD: DLL on */
Arthur Heymansf1287262017-12-25 18:30:01 +01001442 send_jedec_cmd(s, r, ch, MRS_CMD, (1 << 3) | (1 << 8)
1443 | (1 << 12) | ((s->selected_timings.CAS - 4) << 4)
1444 | ((s->selected_timings.tWR - 4) << 9));
1445 send_jedec_cmd(s, r, ch, ZQCAL_CMD, (1 << 10));
1446 }
1447 printk(BIOS_DEBUG, "MRS done\n");
1448}
1449
Arthur Heymansadc571a2017-09-25 09:40:54 +02001450static void sdram_recover_receive_enable(const struct sysinfo *s)
Arthur Heymans97e13d82016-11-30 18:40:38 +01001451{
Arthur Heymans97e13d82016-11-30 18:40:38 +01001452 u32 reg32;
Arthur Heymansadc571a2017-09-25 09:40:54 +02001453 u16 medium, coarse_offset;
1454 u8 pi_tap;
1455 int lane, channel;
Arthur Heymans97e13d82016-11-30 18:40:38 +01001456
Arthur Heymansadc571a2017-09-25 09:40:54 +02001457 FOR_EACH_POPULATED_CHANNEL(s->dimms, channel) {
1458 medium = 0;
1459 coarse_offset = 0;
1460 reg32 = MCHBAR32(0x400 * channel + 0x248);
1461 reg32 &= ~0xf0000;
1462 reg32 |= s->rcven_t[channel].min_common_coarse << 16;
1463 MCHBAR32(0x400 * channel + 0x248) = reg32;
Arthur Heymans97e13d82016-11-30 18:40:38 +01001464
Arthur Heymans276049f2017-11-05 05:56:34 +01001465 FOR_EACH_BYTELANE(lane) {
Arthur Heymansadc571a2017-09-25 09:40:54 +02001466 medium |= s->rcven_t[channel].medium[lane]
1467 << (lane * 2);
1468 coarse_offset |=
1469 (s->rcven_t[channel].coarse_offset[lane] & 0x3)
1470 << (lane * 2);
1471
1472 pi_tap = MCHBAR8(0x400 * channel + 0x560 + lane * 4);
1473 pi_tap &= ~0x7f;
1474 pi_tap |= s->rcven_t[channel].tap[lane];
1475 pi_tap |= s->rcven_t[channel].pi[lane] << 4;
1476 MCHBAR8(0x400 * channel + 0x560 + lane * 4) = pi_tap;
Arthur Heymans97e13d82016-11-30 18:40:38 +01001477 }
Arthur Heymansadc571a2017-09-25 09:40:54 +02001478 MCHBAR16(0x400 * channel + 0x58c) = medium;
1479 MCHBAR16(0x400 * channel + 0x5fa) = coarse_offset;
Arthur Heymans97e13d82016-11-30 18:40:38 +01001480 }
1481}
1482
Arthur Heymansadc571a2017-09-25 09:40:54 +02001483static void sdram_program_receive_enable(struct sysinfo *s, int fast_boot)
Arthur Heymans97e13d82016-11-30 18:40:38 +01001484{
Arthur Heymans97e13d82016-11-30 18:40:38 +01001485 /* Program Receive Enable Timings */
Arthur Heymansadc571a2017-09-25 09:40:54 +02001486 if (fast_boot)
1487 sdram_recover_receive_enable(s);
1488 else
Arthur Heymans6d7a8c12017-03-07 20:48:14 +01001489 rcven(s);
Arthur Heymans97e13d82016-11-30 18:40:38 +01001490}
1491
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001492static void set_dradrb(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001493{
Arthur Heymans0602ce62018-05-26 14:44:42 +02001494 u8 map, i, ch, r, rankpop0, rankpop1, lastrank_ch1;
Damien Zammit4b513a62015-08-20 00:37:05 +10001495 u32 c0dra = 0;
1496 u32 c1dra = 0;
1497 u32 c0drb = 0;
1498 u32 c1drb = 0;
1499 u32 dra;
1500 u32 dra0;
1501 u32 dra1;
1502 u16 totalmemorymb;
Arthur Heymans701da392017-12-16 22:56:19 +01001503 u32 dual_channel_size, single_channel_size, single_channel_offset;
1504 u32 size_ch0, size_ch1, size_me;
Damien Zammit4b513a62015-08-20 00:37:05 +10001505 u8 dratab[2][2][2][4] = {
1506 {
1507 {
1508 {0xff, 0xff, 0xff, 0xff},
1509 {0xff, 0x00, 0x02, 0xff}
1510 },
1511 {
1512 {0xff, 0x01, 0xff, 0xff},
1513 {0xff, 0x03, 0xff, 0xff}
1514 }
1515 },
1516 {
1517 {
1518 {0xff, 0xff, 0xff, 0xff},
1519 {0xff, 0x04, 0x06, 0x08}
1520 },
1521 {
1522 {0xff, 0xff, 0xff, 0xff},
1523 {0x05, 0x07, 0x09, 0xff}
1524 }
1525 }
1526 };
1527
1528 u8 drbtab[10] = {0x04, 0x02, 0x08, 0x04, 0x08, 0x04, 0x10, 0x08, 0x20, 0x10};
1529
Angel Pons9d20c842021-01-13 12:39:37 +01001530 /* DRA */
Damien Zammit4b513a62015-08-20 00:37:05 +10001531 rankpop0 = 0;
1532 rankpop1 = 0;
1533 FOR_EACH_POPULATED_RANK(s->dimms, ch, r) {
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001534 if (s->dimms[ch<<1].card_type != RAW_CARD_UNPOPULATED
1535 && (r) < s->dimms[ch<<1].ranks)
Damien Zammit4b513a62015-08-20 00:37:05 +10001536 i = ch << 1;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001537 else
Damien Zammit4b513a62015-08-20 00:37:05 +10001538 i = (ch << 1) + 1;
Arthur Heymans3cf94032017-04-05 16:17:26 +02001539
1540 dra = dratab[s->dimms[i].n_banks]
Damien Zammit4b513a62015-08-20 00:37:05 +10001541 [s->dimms[i].width]
1542 [s->dimms[i].cols-9]
1543 [s->dimms[i].rows-12];
Arthur Heymans3cf94032017-04-05 16:17:26 +02001544 if (s->dimms[i].n_banks == N_BANKS_8)
Damien Zammit4b513a62015-08-20 00:37:05 +10001545 dra |= 0x80;
Damien Zammit4b513a62015-08-20 00:37:05 +10001546 if (ch == 0) {
1547 c0dra |= dra << (r*8);
1548 rankpop0 |= 1 << r;
1549 } else {
1550 c1dra |= dra << (r*8);
1551 rankpop1 |= 1 << r;
1552 }
1553 }
1554 MCHBAR32(0x208) = c0dra;
1555 MCHBAR32(0x608) = c1dra;
1556
Felix Held432575c2018-07-29 18:09:30 +02001557 MCHBAR8_AND_OR(0x262, ~0xf0, (rankpop0 << 4) & 0xf0);
1558 MCHBAR8_AND_OR(0x662, ~0xf0, (rankpop1 << 4) & 0xf0);
Damien Zammit4b513a62015-08-20 00:37:05 +10001559
Arthur Heymansb4a78042017-12-25 20:17:41 +01001560 if (s->spd_type == DDR3) {
1561 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
1562 /* ZQCAL enable */
Felix Held432575c2018-07-29 18:09:30 +02001563 MCHBAR32_OR(0x269 + 0x400 * ch, 1 << 26);
Arthur Heymansb4a78042017-12-25 20:17:41 +01001564 }
1565 }
1566
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001567 if (ONLY_DIMMA_IS_POPULATED(s->dimms, 0) ||
1568 ONLY_DIMMB_IS_POPULATED(s->dimms, 0))
Felix Held432575c2018-07-29 18:09:30 +02001569 MCHBAR8_OR(0x260, 1);
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001570 if (ONLY_DIMMA_IS_POPULATED(s->dimms, 1) ||
1571 ONLY_DIMMB_IS_POPULATED(s->dimms, 1))
Felix Held432575c2018-07-29 18:09:30 +02001572 MCHBAR8_OR(0x660, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001573
Angel Pons9d20c842021-01-13 12:39:37 +01001574 /* DRB */
Arthur Heymans0602ce62018-05-26 14:44:42 +02001575 lastrank_ch1 = 0;
Arthur Heymansdfce9322017-12-16 19:48:00 +01001576 FOR_EACH_RANK(ch, r) {
Damien Zammit4b513a62015-08-20 00:37:05 +10001577 if (ch == 0) {
Arthur Heymansdfce9322017-12-16 19:48:00 +01001578 if (RANK_IS_POPULATED(s->dimms, ch, r)) {
1579 dra0 = (c0dra >> (8*r)) & 0x7f;
1580 c0drb = (u16)(c0drb + drbtab[dra0]);
1581 }
Damien Zammit4b513a62015-08-20 00:37:05 +10001582 MCHBAR16(0x200 + 2*r) = c0drb;
1583 } else {
Arthur Heymansdfce9322017-12-16 19:48:00 +01001584 if (RANK_IS_POPULATED(s->dimms, ch, r)) {
Arthur Heymans0602ce62018-05-26 14:44:42 +02001585 lastrank_ch1 = r;
Arthur Heymansdfce9322017-12-16 19:48:00 +01001586 dra1 = (c1dra >> (8*r)) & 0x7f;
1587 c1drb = (u16)(c1drb + drbtab[dra1]);
1588 }
Damien Zammit4b513a62015-08-20 00:37:05 +10001589 MCHBAR16(0x600 + 2*r) = c1drb;
1590 }
1591 }
1592
1593 s->channel_capacity[0] = c0drb << 6;
1594 s->channel_capacity[1] = c1drb << 6;
Arthur Heymans0602ce62018-05-26 14:44:42 +02001595
1596 /*
1597 * In stacked mode the last present rank on ch1 needs to have its
1598 * size doubled in c1drbx. All subsequent ranks need the same setting
1599 * according to: "Intel 4 Series Chipset Family Datasheet"
1600 */
1601 if (s->stacked_mode) {
1602 for (r = lastrank_ch1; r < 4; r++)
1603 MCHBAR16(0x600 + 2*r) = 2 * c1drb;
1604 }
1605
Damien Zammit4b513a62015-08-20 00:37:05 +10001606 totalmemorymb = s->channel_capacity[0] + s->channel_capacity[1];
1607 printk(BIOS_DEBUG, "Total memory: %d + %d = %dMiB\n",
1608 s->channel_capacity[0], s->channel_capacity[1], totalmemorymb);
1609
Damien Zammit9fb08f52016-01-22 18:56:23 +11001610 /* Populated channel sizes in MiB */
Arthur Heymans701da392017-12-16 22:56:19 +01001611 size_ch0 = s->channel_capacity[0];
1612 size_ch1 = s->channel_capacity[1];
1613 size_me = ME_UMA_SIZEMB;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001614
Arthur Heymans0602ce62018-05-26 14:44:42 +02001615 if (s->stacked_mode) {
Felix Held432575c2018-07-29 18:09:30 +02001616 MCHBAR8_OR(0x111, STACKED_MEM);
Arthur Heymans0602ce62018-05-26 14:44:42 +02001617 } else {
Felix Held432575c2018-07-29 18:09:30 +02001618 MCHBAR8_AND(0x111, ~STACKED_MEM);
1619 MCHBAR8_OR(0x111, 1 << 4);
Arthur Heymans0602ce62018-05-26 14:44:42 +02001620 }
Damien Zammit9fb08f52016-01-22 18:56:23 +11001621
Arthur Heymans0602ce62018-05-26 14:44:42 +02001622 if (s->stacked_mode) {
1623 dual_channel_size = 0;
1624 } else if (size_me == 0) {
Arthur Heymans701da392017-12-16 22:56:19 +01001625 dual_channel_size = MIN(size_ch0, size_ch1) * 2;
1626 } else {
1627 if (size_ch0 == 0) {
Elyes HAOUASef906092020-02-20 19:41:17 +01001628 /* ME needs RAM on CH0 */
Arthur Heymans701da392017-12-16 22:56:19 +01001629 size_me = 0;
1630 /* TOTEST: bailout? */
1631 } else {
1632 /* Set ME UMA size in MiB */
1633 MCHBAR16(0x100) = size_me;
1634 /* Set ME UMA Present bit */
Felix Held432575c2018-07-29 18:09:30 +02001635 MCHBAR32_OR(0x111, 1);
Arthur Heymans701da392017-12-16 22:56:19 +01001636 }
1637 dual_channel_size = MIN(size_ch0 - size_me, size_ch1) * 2;
1638 }
Arthur Heymans0602ce62018-05-26 14:44:42 +02001639
Arthur Heymans701da392017-12-16 22:56:19 +01001640 MCHBAR16(0x104) = dual_channel_size;
1641 single_channel_size = size_ch0 + size_ch1 - dual_channel_size;
1642 MCHBAR16(0x102) = single_channel_size;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001643
Damien Zammit4b513a62015-08-20 00:37:05 +10001644 map = 0;
Arthur Heymans701da392017-12-16 22:56:19 +01001645 if (size_ch0 == 0)
Damien Zammit4b513a62015-08-20 00:37:05 +10001646 map = 0;
Arthur Heymans701da392017-12-16 22:56:19 +01001647 else if (size_ch1 == 0)
Damien Zammit4b513a62015-08-20 00:37:05 +10001648 map |= 0x20;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001649 else
Damien Zammit4b513a62015-08-20 00:37:05 +10001650 map |= 0x40;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001651
Arthur Heymans701da392017-12-16 22:56:19 +01001652 if (dual_channel_size == 0)
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001653 map |= 0x18;
Arthur Heymans701da392017-12-16 22:56:19 +01001654 /* Enable flex mode, we hardcode this everywhere */
1655 if (size_me == 0) {
Arthur Heymans0602ce62018-05-26 14:44:42 +02001656 if (!(s->stacked_mode && size_ch0 != 0 && size_ch1 != 0)) {
1657 map |= 0x04;
1658 if (size_ch0 <= size_ch1)
1659 map |= 0x01;
1660 }
Arthur Heymans701da392017-12-16 22:56:19 +01001661 } else {
Arthur Heymans0602ce62018-05-26 14:44:42 +02001662 if (s->stacked_mode == 0 && size_ch0 - size_me < size_ch1)
Arthur Heymans701da392017-12-16 22:56:19 +01001663 map |= 0x04;
1664 }
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001665
Damien Zammit4b513a62015-08-20 00:37:05 +10001666 MCHBAR8(0x110) = map;
1667 MCHBAR16(0x10e) = 0;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001668
Arthur Heymans701da392017-12-16 22:56:19 +01001669 /*
1670 * "108h[15:0] Single Channel Offset for Ch0"
1671 * This is the 'limit' of the part on CH0 that cannot be matched
1672 * with memory on CH1. MCHBAR16(0x10a) is where the dual channel
1673 * memory on ch0s end and MCHBAR16(0x108) is the limit of the single
1674 * channel size on ch0.
1675 */
Arthur Heymans0602ce62018-05-26 14:44:42 +02001676 if (s->stacked_mode && size_ch1 != 0) {
1677 single_channel_offset = 0;
1678 } else if (size_me == 0) {
Arthur Heymans701da392017-12-16 22:56:19 +01001679 if (size_ch0 > size_ch1)
1680 single_channel_offset = dual_channel_size / 2
1681 + single_channel_size;
1682 else
1683 single_channel_offset = dual_channel_size / 2;
1684 } else {
1685 if ((size_ch0 > size_ch1) && ((map & 0x7) == 4))
1686 single_channel_offset = dual_channel_size / 2
1687 + single_channel_size;
1688 else
1689 single_channel_offset = dual_channel_size / 2
1690 + size_me;
1691 }
1692
1693 MCHBAR16(0x108) = single_channel_offset;
1694 MCHBAR16(0x10a) = dual_channel_size / 2;
Damien Zammit4b513a62015-08-20 00:37:05 +10001695}
1696
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001697static void configure_mmap(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001698{
Damien Zammitd63115d2016-01-22 19:11:44 +11001699 bool reclaim;
1700 u32 gfxsize, gttsize, tsegsize, mmiosize, tom, tolud, touud;
1701 u32 gfxbase, gttbase, tsegbase, reclaimbase, reclaimlimit;
Arthur Heymans16a70a42017-09-22 12:22:24 +02001702 u32 mmiostart, umasizem;
Damien Zammit4b513a62015-08-20 00:37:05 +10001703 u16 ggc;
Arthur Heymans27f94ee2016-06-18 21:08:58 +02001704 u16 ggc2uma[] = { 0, 1, 4, 8, 16, 32, 48, 64, 128, 256, 96,
1705 160, 224, 352 };
Damien Zammit4b513a62015-08-20 00:37:05 +10001706 u8 ggc2gtt[] = { 0, 1, 0, 2, 0, 0, 0, 0, 0, 2, 3, 4};
1707
Angel Ponsd1c590a2020-08-03 16:01:39 +02001708 ggc = pci_read_config16(HOST_BRIDGE, 0x52);
Damien Zammit4b513a62015-08-20 00:37:05 +10001709 gfxsize = ggc2uma[(ggc & 0xf0) >> 4];
1710 gttsize = ggc2gtt[(ggc & 0xf00) >> 8];
Arthur Heymansd522db02018-08-06 15:50:54 +02001711 /* TSEG 2M, This amount can easily be covered by SMRR MTRR's,
1712 which requires to have TSEG_BASE aligned to TSEG_SIZE. */
1713 tsegsize = 2;
Angel Pons9d20c842021-01-13 12:39:37 +01001714 mmiosize = 0x800; /* 2GB MMIO */
Arthur Heymans16a70a42017-09-22 12:22:24 +02001715 umasizem = gfxsize + gttsize + tsegsize;
1716 mmiostart = 0x1000 - mmiosize + umasizem;
Damien Zammit9fb08f52016-01-22 18:56:23 +11001717 tom = s->channel_capacity[0] + s->channel_capacity[1] - ME_UMA_SIZEMB;
Arthur Heymans16a70a42017-09-22 12:22:24 +02001718 tolud = MIN(mmiostart, tom);
Damien Zammitd63115d2016-01-22 19:11:44 +11001719
1720 reclaim = false;
1721 if ((tom - tolud) > 0x40)
1722 reclaim = true;
1723
1724 if (reclaim) {
1725 tolud = tolud & ~0x3f;
1726 tom = tom & ~0x3f;
1727 reclaimbase = MAX(0x1000, tom);
1728 reclaimlimit = reclaimbase + (MIN(0x1000, tom) - tolud) - 0x40;
1729 }
1730
Damien Zammit4b513a62015-08-20 00:37:05 +10001731 touud = tom;
Damien Zammitd63115d2016-01-22 19:11:44 +11001732 if (reclaim)
1733 touud = reclaimlimit + 0x40;
1734
Damien Zammit4b513a62015-08-20 00:37:05 +10001735 gfxbase = tolud - gfxsize;
1736 gttbase = gfxbase - gttsize;
1737 tsegbase = gttbase - tsegsize;
1738
Angel Ponsd1c590a2020-08-03 16:01:39 +02001739 pci_write_config16(HOST_BRIDGE, 0xb0, tolud << 4);
1740 pci_write_config16(HOST_BRIDGE, 0xa0, tom >> 6);
Damien Zammitd63115d2016-01-22 19:11:44 +11001741 if (reclaim) {
Angel Ponsd1c590a2020-08-03 16:01:39 +02001742 pci_write_config16(HOST_BRIDGE, 0x98,
Damien Zammitd63115d2016-01-22 19:11:44 +11001743 (u16)(reclaimbase >> 6));
Angel Ponsd1c590a2020-08-03 16:01:39 +02001744 pci_write_config16(HOST_BRIDGE, 0x9a,
Damien Zammitd63115d2016-01-22 19:11:44 +11001745 (u16)(reclaimlimit >> 6));
1746 }
Angel Ponsd1c590a2020-08-03 16:01:39 +02001747 pci_write_config16(HOST_BRIDGE, 0xa2, touud);
1748 pci_write_config32(HOST_BRIDGE, 0xa4, gfxbase << 20);
1749 pci_write_config32(HOST_BRIDGE, 0xa8, gttbase << 20);
Angel Pons4a9569a2020-06-08 01:39:25 +02001750 /* Enable and set TSEG size to 2M */
Angel Ponsd1c590a2020-08-03 16:01:39 +02001751 pci_update_config8(HOST_BRIDGE, D0F0_ESMRAMC, ~0x07, (1 << 1) | (1 << 0));
1752 pci_write_config32(HOST_BRIDGE, 0xac, tsegbase << 20);
Damien Zammit4b513a62015-08-20 00:37:05 +10001753}
1754
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001755static void set_enhanced_mode(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001756{
1757 u8 ch, reg8;
Arthur Heymans7345a172018-05-26 15:08:06 +02001758 u32 reg32;
Damien Zammit4b513a62015-08-20 00:37:05 +10001759
1760 MCHBAR32(0xfb0) = 0x1000d024;
1761 MCHBAR32(0xfb4) = 0xc842;
1762 MCHBAR32(0xfbc) = 0xf;
1763 MCHBAR32(0xfc4) = 0xfe22244;
1764 MCHBAR8(0x12f) = 0x5c;
Felix Held432575c2018-07-29 18:09:30 +02001765 MCHBAR8_OR(0xfb0, 1);
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001766 if (s->selected_timings.mem_clk <= MEM_CLOCK_800MHz)
Felix Held432575c2018-07-29 18:09:30 +02001767 MCHBAR8_OR(0x12f, 0x2);
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001768 else
Felix Held432575c2018-07-29 18:09:30 +02001769 MCHBAR8_AND(0x12f, ~0x2);
1770 MCHBAR8_AND_OR(0x6c0, ~0xf0, 0xa0);
Damien Zammit4b513a62015-08-20 00:37:05 +10001771 MCHBAR32(0xfa8) = 0x30d400;
1772
1773 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Felix Held432575c2018-07-29 18:09:30 +02001774 MCHBAR8_OR(0x400*ch + 0x26c, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001775 MCHBAR32(0x400*ch + 0x278) = 0x88141881;
1776 MCHBAR16(0x400*ch + 0x27c) = 0x0041;
1777 MCHBAR8(0x400*ch + 0x292) = 0xf2;
Felix Held432575c2018-07-29 18:09:30 +02001778 MCHBAR16_OR(0x400*ch + 0x272, 0x100);
1779 MCHBAR8_AND_OR(0x400*ch + 0x243, ~0x2, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001780 MCHBAR32(0x400*ch + 0x288) = 0x8040200;
1781 MCHBAR32(0x400*ch + 0x28c) = 0xff402010;
1782 MCHBAR32(0x400*ch + 0x290) = 0x4f2091c;
1783 }
1784
Angel Ponsd1c590a2020-08-03 16:01:39 +02001785 reg8 = pci_read_config8(HOST_BRIDGE, 0xf0);
1786 pci_write_config8(HOST_BRIDGE, 0xf0, reg8 | 1);
Felix Held3a2f9002018-07-29 18:51:22 +02001787 MCHBAR32_AND_OR(0xfa0, ~0x20002, 0x2 | (s->selected_timings.fsb_clk ==
1788 FSB_CLOCK_1333MHz ? 0x20000 : 0));
Arthur Heymans7345a172018-05-26 15:08:06 +02001789 reg32 = 0x219100c2;
1790 if (s->selected_timings.fsb_clk == FSB_CLOCK_1333MHz) {
1791 reg32 |= 1;
1792 if (s->selected_timings.mem_clk == MEM_CLOCK_1066MHz)
1793 reg32 &= ~0x10000;
1794 } else if (s->selected_timings.fsb_clk == FSB_CLOCK_1066MHz) {
1795 reg32 &= ~0x10000;
1796 }
Felix Held432575c2018-07-29 18:09:30 +02001797 MCHBAR32_AND_OR(0xfa4, ~0x219100c3, reg32);
Arthur Heymans7345a172018-05-26 15:08:06 +02001798 reg32 = 0x44a00;
1799 switch (s->selected_timings.fsb_clk) {
1800 case FSB_CLOCK_1333MHz:
1801 reg32 |= 0x62;
1802 break;
1803 case FSB_CLOCK_1066MHz:
1804 reg32 |= 0x5a;
1805 break;
1806 default:
1807 case FSB_CLOCK_800MHz:
1808 reg32 |= 0x53;
1809 break;
1810 }
1811
1812 MCHBAR32(0x2c) = reg32;
Damien Zammit4b513a62015-08-20 00:37:05 +10001813 MCHBAR32(0x30) = 0x1f5a86;
1814 MCHBAR32(0x34) = 0x1902810;
1815 MCHBAR32(0x38) = 0xf7000000;
Arthur Heymans7345a172018-05-26 15:08:06 +02001816 reg32 = 0x23014410;
1817 if (s->selected_timings.fsb_clk > FSB_CLOCK_800MHz)
1818 reg32 = (reg32 & ~0x2000000) | 0x44000000;
1819 MCHBAR32(0x3c) = reg32;
1820 reg32 = 0x8f038000;
1821 if (s->selected_timings.fsb_clk == FSB_CLOCK_1333MHz)
1822 reg32 &= ~0x4000000;
Felix Held432575c2018-07-29 18:09:30 +02001823 MCHBAR32_AND_OR(0x40, ~0x8f038000, reg32);
Arthur Heymans7345a172018-05-26 15:08:06 +02001824 reg32 = 0x00013001;
1825 if (s->selected_timings.fsb_clk < FSB_CLOCK_1333MHz)
1826 reg32 |= 0x20000;
1827 MCHBAR32(0x20) = reg32;
Angel Ponsd1c590a2020-08-03 16:01:39 +02001828 pci_write_config8(HOST_BRIDGE, 0xf0, reg8 & ~1);
Damien Zammit4b513a62015-08-20 00:37:05 +10001829}
1830
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001831static void power_settings(struct sysinfo *s)
Damien Zammit4b513a62015-08-20 00:37:05 +10001832{
1833 u32 reg1, reg2, reg3, reg4, clkgate, x592;
1834 u8 lane, ch;
1835 u8 twl = 0;
1836 u16 x264, x23c;
1837
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001838 if (s->spd_type == DDR2) {
1839 twl = s->selected_timings.CAS - 1;
1840 x264 = 0x78;
1841
1842 switch (s->selected_timings.mem_clk) {
1843 default:
1844 case MEM_CLOCK_667MHz:
1845 reg1 = 0x99;
1846 reg2 = 0x1048a9;
1847 clkgate = 0x230000;
1848 x23c = 0x7a89;
1849 break;
1850 case MEM_CLOCK_800MHz:
1851 if (s->selected_timings.CAS == 5) {
1852 reg1 = 0x19a;
1853 reg2 = 0x1048aa;
1854 } else {
1855 reg1 = 0x9a;
1856 reg2 = 0x2158aa;
Damien Zammit4b513a62015-08-20 00:37:05 +10001857 x264 = 0x89;
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001858 }
1859 clkgate = 0x280000;
1860 x23c = 0x7b89;
1861 break;
Damien Zammit4b513a62015-08-20 00:37:05 +10001862 }
Arthur Heymans3fa103a2017-05-25 19:54:49 +02001863 reg3 = 0x232;
1864 reg4 = 0x2864;
1865 } else { /* DDR3 */
1866 int ddr3_idx = s->selected_timings.mem_clk - MEM_CLOCK_800MHz;
1867 int cas_idx = s->selected_timings.CAS - 5;
1868
1869 twl = s->selected_timings.mem_clk - MEM_CLOCK_800MHz + 5;
1870 reg1 = ddr3_c2_tab[s->nmode - 1][ddr3_idx][cas_idx][0];
1871 reg2 = ddr3_c2_tab[s->nmode - 1][ddr3_idx][cas_idx][1];
1872 reg3 = 0x764;
1873 reg4 = 0x78c8;
1874 x264 = ddr3_c2_x264[ddr3_idx][cas_idx];
1875 x23c = ddr3_c2_x23c[ddr3_idx][cas_idx];
1876 switch (s->selected_timings.mem_clk) {
1877 case MEM_CLOCK_800MHz:
1878 default:
1879 clkgate = 0x280000;
1880 break;
1881 case MEM_CLOCK_1066MHz:
1882 clkgate = 0x350000;
1883 break;
1884 case MEM_CLOCK_1333MHz:
1885 clkgate = 0xff0000;
1886 break;
1887 }
Damien Zammit4b513a62015-08-20 00:37:05 +10001888 }
Damien Zammit4b513a62015-08-20 00:37:05 +10001889
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001890 if (CHANNEL_IS_POPULATED(s->dimms, 0) && CHANNEL_IS_POPULATED(s->dimms, 1))
Damien Zammit4b513a62015-08-20 00:37:05 +10001891 MCHBAR32(0x14) = 0x0010461f;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001892 else
Damien Zammit4b513a62015-08-20 00:37:05 +10001893 MCHBAR32(0x14) = 0x0010691f;
Damien Zammit4b513a62015-08-20 00:37:05 +10001894 MCHBAR32(0x18) = 0xdf6437f7;
1895 MCHBAR32(0x1c) = 0x0;
Felix Held432575c2018-07-29 18:09:30 +02001896 MCHBAR32_AND_OR(0x24, ~0xe0000000, 0x60000000);
1897 MCHBAR32_AND_OR(0x44, ~0x1fef0000, 0x6b0000);
Damien Zammit4b513a62015-08-20 00:37:05 +10001898 MCHBAR16(0x115) = (u16) reg1;
Felix Held432575c2018-07-29 18:09:30 +02001899 MCHBAR32_AND_OR(0x117, ~0xffffff, reg2);
Damien Zammit4b513a62015-08-20 00:37:05 +10001900 MCHBAR8(0x124) = 0x7;
Angel Pons9d20c842021-01-13 12:39:37 +01001901 /* not sure if dummy reads are needed */
Felix Held432575c2018-07-29 18:09:30 +02001902 MCHBAR16_AND_OR(0x12a, 0, 0x80);
1903 MCHBAR8_AND_OR(0x12c, 0, 0xa0);
1904 MCHBAR16_AND(0x174, ~(1 << 15));
1905 MCHBAR16_AND_OR(0x188, ~0x1f00, 0x1f00);
1906 MCHBAR8_AND(0x18c, ~0x8);
1907 MCHBAR8_OR(0x192, 1);
1908 MCHBAR8_OR(0x193, 0xf);
1909 MCHBAR16_AND_OR(0x1b4, ~0x480, 0x80);
Angel Pons9d20c842021-01-13 12:39:37 +01001910 MCHBAR16_AND_OR(0x210, ~0x1fff, 0x3f); /* clockgating iii */
1911 /* non-aligned access: possible bug? */
Felix Held432575c2018-07-29 18:09:30 +02001912 MCHBAR32_AND_OR(0x6d1, ~0xff03ff, 0x100 | clkgate);
1913 MCHBAR8_AND_OR(0x212, ~0x7f, 0x7f);
1914 MCHBAR32_AND_OR(0x2c0, ~0xffff0, 0xcc5f0);
1915 MCHBAR8_AND_OR(0x2c4, ~0x70, 0x70);
Angel Pons9d20c842021-01-13 12:39:37 +01001916 /* non-aligned access: possible bug? */
1917 MCHBAR32_AND_OR(0x2d1, ~0xffffff, 0xff2831); /* clockgating i */
Damien Zammit4b513a62015-08-20 00:37:05 +10001918 MCHBAR32(0x2d4) = 0x40453600;
1919 MCHBAR32(0x300) = 0xc0b0a08;
1920 MCHBAR32(0x304) = 0x6040201;
Felix Held432575c2018-07-29 18:09:30 +02001921 MCHBAR32_AND_OR(0x30c, ~0x43c0f, 0x41405);
Arthur Heymans7345a172018-05-26 15:08:06 +02001922 MCHBAR16(0x610) = reg3;
1923 MCHBAR16(0x612) = reg4;
Felix Held432575c2018-07-29 18:09:30 +02001924 MCHBAR32_AND_OR(0x62c, ~0xc000000, 0x4000000);
Damien Zammit4b513a62015-08-20 00:37:05 +10001925 MCHBAR32(0xae4) = 0;
Felix Held432575c2018-07-29 18:09:30 +02001926 MCHBAR32_AND_OR(0xc00, ~0xf0000, 0x10000);
Damien Zammit4b513a62015-08-20 00:37:05 +10001927 MCHBAR32(0xf00) = 0x393a3b3c;
1928 MCHBAR32(0xf04) = 0x3d3e3f40;
1929 MCHBAR32(0xf08) = 0x393a3b3c;
1930 MCHBAR32(0xf0c) = 0x3d3e3f40;
Felix Held432575c2018-07-29 18:09:30 +02001931 MCHBAR32_AND(0xf18, ~0xfff00001);
Damien Zammit4b513a62015-08-20 00:37:05 +10001932 MCHBAR32(0xf48) = 0xfff0ffe0;
1933 MCHBAR32(0xf4c) = 0xffc0ff00;
1934 MCHBAR32(0xf50) = 0xfc00f000;
1935 MCHBAR32(0xf54) = 0xc0008000;
Felix Held432575c2018-07-29 18:09:30 +02001936 MCHBAR32_AND_OR(0xf6c, ~0xffff0000, 0xffff0000);
1937 MCHBAR32_AND(0xfac, ~0x80000000);
1938 MCHBAR32_AND(0xfb8, ~0xff000000);
1939 MCHBAR32_AND_OR(0xfbc, ~0x7f800, 0xf000);
Damien Zammit4b513a62015-08-20 00:37:05 +10001940 MCHBAR32(0x1104) = 0x3003232;
1941 MCHBAR32(0x1108) = 0x74;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001942 if (s->selected_timings.fsb_clk == FSB_CLOCK_800MHz)
Damien Zammit4b513a62015-08-20 00:37:05 +10001943 MCHBAR32(0x110c) = 0xaa;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001944 else
Damien Zammit4b513a62015-08-20 00:37:05 +10001945 MCHBAR32(0x110c) = 0x100;
Damien Zammit4b513a62015-08-20 00:37:05 +10001946 MCHBAR32(0x1110) = 0x10810350 & ~0x78;
1947 MCHBAR32(0x1114) = 0;
Damien Zammit4b513a62015-08-20 00:37:05 +10001948 x592 = 0xff;
Angel Ponsd1c590a2020-08-03 16:01:39 +02001949 if (pci_read_config8(HOST_BRIDGE, 0x8) < 3)
Damien Zammit4b513a62015-08-20 00:37:05 +10001950 x592 = ~0x4;
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001951
Damien Zammit4b513a62015-08-20 00:37:05 +10001952 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
1953 MCHBAR8(0x400*ch + 0x239) = twl + 15;
1954 MCHBAR16(0x400*ch + 0x23c) = x23c;
Felix Held432575c2018-07-29 18:09:30 +02001955 MCHBAR32_AND_OR(0x400*ch + 0x248, ~0x706033, 0x406033);
1956 MCHBAR32_AND_OR(0x400*ch + 0x260, ~(1 << 16), 1 << 16);
Damien Zammit4b513a62015-08-20 00:37:05 +10001957 MCHBAR8(0x400*ch + 0x264) = x264;
Felix Held432575c2018-07-29 18:09:30 +02001958 MCHBAR8_AND_OR(0x400*ch + 0x592, ~0x3f, 0x3c & x592);
1959 MCHBAR8_AND_OR(0x400*ch + 0x593, ~0x1f, 0x1e);
Damien Zammit4b513a62015-08-20 00:37:05 +10001960 }
1961
Arthur Heymans70a1dda2017-03-09 01:58:24 +01001962 for (lane = 0; lane < 8; lane++)
Felix Held432575c2018-07-29 18:09:30 +02001963 MCHBAR8_AND(0x561 + (lane << 2), ~(1 << 3));
Damien Zammit4b513a62015-08-20 00:37:05 +10001964}
1965
Arthur Heymansb5170c32017-12-25 20:13:28 +01001966static void software_ddr3_reset(struct sysinfo *s)
1967{
1968 printk(BIOS_DEBUG, "Software initiated DDR3 reset.\n");
Felix Held432575c2018-07-29 18:09:30 +02001969 MCHBAR8_OR(0x1a8, 0x02);
1970 MCHBAR8_AND(0x5da, ~0x80);
1971 MCHBAR8_AND(0x1a8, ~0x02);
1972 MCHBAR8_AND_OR(0x5da, ~0x03, 1);
Arthur Heymansb5170c32017-12-25 20:13:28 +01001973 udelay(200);
Felix Held432575c2018-07-29 18:09:30 +02001974 MCHBAR8_AND(0x1a8, ~0x02);
1975 MCHBAR8_OR(0x5da, 0x80);
1976 MCHBAR8_AND(0x5da, ~0x80);
Arthur Heymansb5170c32017-12-25 20:13:28 +01001977 udelay(500);
Felix Held432575c2018-07-29 18:09:30 +02001978 MCHBAR8_OR(0x5da, 0x03);
1979 MCHBAR8_AND(0x5da, ~0x03);
Arthur Heymansb5170c32017-12-25 20:13:28 +01001980 /* After write leveling the dram needs to be reset and reinitialised */
1981 jedec_ddr3(s);
1982}
1983
Arthur Heymansa2cc2312017-05-15 10:13:36 +02001984void do_raminit(struct sysinfo *s, int fast_boot)
Damien Zammit4b513a62015-08-20 00:37:05 +10001985{
1986 u8 ch;
1987 u8 r, bank;
1988 u32 reg32;
1989
Arthur Heymans97e13d82016-11-30 18:40:38 +01001990 if (s->boot_path != BOOT_PATH_WARM_RESET) {
Angel Pons9d20c842021-01-13 12:39:37 +01001991 /* Clear self refresh */
Arthur Heymans97e13d82016-11-30 18:40:38 +01001992 MCHBAR32(PMSTS_MCHBAR) = MCHBAR32(PMSTS_MCHBAR)
1993 | PMSTS_BOTH_SELFREFRESH;
Damien Zammit4b513a62015-08-20 00:37:05 +10001994
Angel Pons9d20c842021-01-13 12:39:37 +01001995 /* Clear host clk gate reg */
Felix Held432575c2018-07-29 18:09:30 +02001996 MCHBAR32_OR(0x1c, 0xffffffff);
Damien Zammit4b513a62015-08-20 00:37:05 +10001997
Angel Pons9d20c842021-01-13 12:39:37 +01001998 /* Select type */
Arthur Heymans840c27e2017-05-15 10:21:37 +02001999 if (s->spd_type == DDR2)
Felix Held432575c2018-07-29 18:09:30 +02002000 MCHBAR8_AND(0x1a8, ~0x4);
Arthur Heymans840c27e2017-05-15 10:21:37 +02002001 else
Felix Held432575c2018-07-29 18:09:30 +02002002 MCHBAR8_OR(0x1a8, 0x4);
Damien Zammit4b513a62015-08-20 00:37:05 +10002003
Angel Pons9d20c842021-01-13 12:39:37 +01002004 /* Set frequency */
Felix Held432575c2018-07-29 18:09:30 +02002005 MCHBAR32_AND_OR(0xc00, ~0x70,
2006 (s->selected_timings.mem_clk << 4) | (1 << 10));
Damien Zammit4b513a62015-08-20 00:37:05 +10002007
Angel Pons9d20c842021-01-13 12:39:37 +01002008 /* Overwrite value if chipset rejects it */
Arthur Heymans97e13d82016-11-30 18:40:38 +01002009 s->selected_timings.mem_clk = (MCHBAR8(0xc00) & 0x70) >> 4;
2010 if (s->selected_timings.mem_clk > (s->max_fsb + 3))
2011 die("Error: DDR is faster than FSB, halt\n");
Damien Zammit4b513a62015-08-20 00:37:05 +10002012 }
2013
Angel Pons9d20c842021-01-13 12:39:37 +01002014 /* Program clock crossing */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002015 program_crossclock(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002016 printk(BIOS_DEBUG, "Done clk crossing\n");
2017
Arthur Heymans97e13d82016-11-30 18:40:38 +01002018 if (s->boot_path != BOOT_PATH_WARM_RESET) {
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002019 setioclk_dram(s);
Arthur Heymans97e13d82016-11-30 18:40:38 +01002020 printk(BIOS_DEBUG, "Done I/O clk\n");
2021 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002022
Angel Pons9d20c842021-01-13 12:39:37 +01002023 /* Grant to launch */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002024 launch_dram(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002025 printk(BIOS_DEBUG, "Done launch\n");
2026
Angel Pons9d20c842021-01-13 12:39:37 +01002027 /* Program DRAM timings */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002028 program_timings(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002029 printk(BIOS_DEBUG, "Done timings\n");
2030
Angel Pons9d20c842021-01-13 12:39:37 +01002031 /* Program DLL */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002032 program_dll(s);
Arthur Heymans0bf87de2017-11-04 06:15:05 +01002033 if (!fast_boot)
2034 select_default_dq_dqs_settings(s);
2035 set_all_dq_dqs_dll_settings(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002036
Angel Pons9d20c842021-01-13 12:39:37 +01002037 /* RCOMP */
Arthur Heymans97e13d82016-11-30 18:40:38 +01002038 if (s->boot_path != BOOT_PATH_WARM_RESET) {
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002039 prog_rcomp(s);
Arthur Heymans97e13d82016-11-30 18:40:38 +01002040 printk(BIOS_DEBUG, "RCOMP\n");
2041 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002042
Angel Pons9d20c842021-01-13 12:39:37 +01002043 /* ODT */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002044 program_odt(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002045 printk(BIOS_DEBUG, "Done ODT\n");
2046
Angel Pons9d20c842021-01-13 12:39:37 +01002047 /* RCOMP update */
Arthur Heymans97e13d82016-11-30 18:40:38 +01002048 if (s->boot_path != BOOT_PATH_WARM_RESET) {
Felix Held432575c2018-07-29 18:09:30 +02002049 while (MCHBAR8(0x130) & 1)
Arthur Heymans97e13d82016-11-30 18:40:38 +01002050 ;
2051 printk(BIOS_DEBUG, "Done RCOMP update\n");
2052 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002053
Arthur Heymans1994e4482017-11-04 07:52:23 +01002054 pre_jedec_memory_map();
Damien Zammit4b513a62015-08-20 00:37:05 +10002055
Angel Pons9d20c842021-01-13 12:39:37 +01002056 /* IOBUFACT */
Damien Zammit4b513a62015-08-20 00:37:05 +10002057 if (CHANNEL_IS_POPULATED(s->dimms, 0)) {
Felix Held432575c2018-07-29 18:09:30 +02002058 MCHBAR8_AND_OR(0x5dd, ~0x3f, 0x3f);
2059 MCHBAR8_OR(0x5d8, 0x7);
Damien Zammit4b513a62015-08-20 00:37:05 +10002060 }
2061 if (CHANNEL_IS_POPULATED(s->dimms, 1)) {
Angel Ponsd1c590a2020-08-03 16:01:39 +02002062 if (pci_read_config8(HOST_BRIDGE, 0x8) < 2) {
Felix Held432575c2018-07-29 18:09:30 +02002063 MCHBAR8_AND_OR(0x5dd, ~0x3f, 0x3f);
2064 MCHBAR8_OR(0x5d8, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10002065 }
Felix Held432575c2018-07-29 18:09:30 +02002066 MCHBAR8_OR(0x9dd, 0x3f);
2067 MCHBAR8_OR(0x9d8, 0x7);
Damien Zammit4b513a62015-08-20 00:37:05 +10002068 }
2069
Arthur Heymansb5170c32017-12-25 20:13:28 +01002070 /* DDR3 reset */
2071 if ((s->spd_type == DDR3) && (s->boot_path != BOOT_PATH_RESUME)) {
2072 printk(BIOS_DEBUG, "DDR3 Reset.\n");
Felix Held432575c2018-07-29 18:09:30 +02002073 MCHBAR8_AND(0x1a8, ~0x2);
2074 MCHBAR8_OR(0x5da, 0x80);
Arthur Heymansb5170c32017-12-25 20:13:28 +01002075 udelay(500);
Felix Held432575c2018-07-29 18:09:30 +02002076 MCHBAR8_AND(0x1a8, ~0x2);
2077 MCHBAR8_AND(0x5da, ~0x80);
Arthur Heymansb5170c32017-12-25 20:13:28 +01002078 udelay(500);
2079 }
2080
Angel Pons9d20c842021-01-13 12:39:37 +01002081 /* Pre jedec */
Felix Held432575c2018-07-29 18:09:30 +02002082 MCHBAR8_OR(0x40, 0x2);
Damien Zammit4b513a62015-08-20 00:37:05 +10002083 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Felix Held432575c2018-07-29 18:09:30 +02002084 MCHBAR32_OR(0x400*ch + 0x260, 1 << 27);
Damien Zammit4b513a62015-08-20 00:37:05 +10002085 }
Felix Held432575c2018-07-29 18:09:30 +02002086 MCHBAR16_OR(0x212, 0xf000);
2087 MCHBAR16_OR(0x212, 0xf00);
Damien Zammit4b513a62015-08-20 00:37:05 +10002088 printk(BIOS_DEBUG, "Done pre-jedec\n");
2089
Angel Pons9d20c842021-01-13 12:39:37 +01002090 /* JEDEC reset */
Arthur Heymansf1287262017-12-25 18:30:01 +01002091 if (s->boot_path != BOOT_PATH_RESUME) {
2092 if (s->spd_type == DDR2)
2093 jedec_ddr2(s);
2094 else /* DDR3 */
2095 jedec_ddr3(s);
2096 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002097
2098 printk(BIOS_DEBUG, "Done jedec steps\n");
2099
Arthur Heymansb5170c32017-12-25 20:13:28 +01002100 if (s->spd_type == DDR3) {
2101 if (!fast_boot)
2102 search_write_leveling(s);
2103 if (s->boot_path == BOOT_PATH_NORMAL)
2104 software_ddr3_reset(s);
2105 }
2106
Angel Pons9d20c842021-01-13 12:39:37 +01002107 /* After JEDEC reset */
Felix Held432575c2018-07-29 18:09:30 +02002108 MCHBAR8_AND(0x40, ~0x2);
Damien Zammit4b513a62015-08-20 00:37:05 +10002109 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Arthur Heymans0d284952017-05-25 19:55:52 +02002110 reg32 = (2 << 18);
2111 reg32 |= post_jedec_tab[s->selected_timings.fsb_clk]
2112 [s->selected_timings.mem_clk - MEM_CLOCK_667MHz][0]
2113 << 13;
2114 if (s->selected_timings.mem_clk == MEM_CLOCK_667MHz &&
2115 s->selected_timings.fsb_clk == FSB_CLOCK_1066MHz &&
2116 ch == 1) {
2117 reg32 |= (post_jedec_tab[s->selected_timings.fsb_clk]
2118 [s->selected_timings.mem_clk - MEM_CLOCK_667MHz][1]
2119 - 1) << 8;
2120 } else {
2121 reg32 |= post_jedec_tab[s->selected_timings.fsb_clk]
2122 [s->selected_timings.mem_clk - MEM_CLOCK_667MHz][1]
2123 << 8;
2124 }
Felix Held432575c2018-07-29 18:09:30 +02002125 MCHBAR32_AND_OR(0x400*ch + 0x274, ~0xfff00, reg32);
2126 MCHBAR8_AND(0x400*ch + 0x274, ~0x80);
2127 MCHBAR8_OR(0x400*ch + 0x26c, 1);
Damien Zammit4b513a62015-08-20 00:37:05 +10002128 MCHBAR32(0x400*ch + 0x278) = 0x88141881;
2129 MCHBAR16(0x400*ch + 0x27c) = 0x41;
2130 MCHBAR8(0x400*ch + 0x292) = 0xf2;
Felix Held432575c2018-07-29 18:09:30 +02002131 MCHBAR8_OR(0x400*ch + 0x271, 0xe);
Damien Zammit4b513a62015-08-20 00:37:05 +10002132 }
Felix Held432575c2018-07-29 18:09:30 +02002133 MCHBAR8_OR(0x2c4, 0x8);
2134 MCHBAR8_OR(0x2c3, 0x40);
2135 MCHBAR8_OR(0x2c4, 0x4);
Damien Zammit4b513a62015-08-20 00:37:05 +10002136
2137 printk(BIOS_DEBUG, "Done post-jedec\n");
2138
Angel Pons9d20c842021-01-13 12:39:37 +01002139 /* Set DDR init complete */
Damien Zammit4b513a62015-08-20 00:37:05 +10002140 FOR_EACH_POPULATED_CHANNEL(s->dimms, ch) {
Felix Held432575c2018-07-29 18:09:30 +02002141 MCHBAR32_OR(0x400*ch + 0x268, 0xc0000000);
Damien Zammit4b513a62015-08-20 00:37:05 +10002142 }
2143
Angel Pons9d20c842021-01-13 12:39:37 +01002144 /* Dummy reads */
Arthur Heymans8bb2bac2019-08-10 20:34:17 +02002145 if (s->boot_path == BOOT_PATH_NORMAL) {
2146 FOR_EACH_POPULATED_RANK(s->dimms, ch, r) {
2147 for (bank = 0; bank < 4; bank++)
2148 read32((u32 *)(test_address(ch, r) | 0x800000 | (bank << 12)));
2149 }
2150 }
2151 printk(BIOS_DEBUG, "Done dummy reads\n");
2152
Angel Pons9d20c842021-01-13 12:39:37 +01002153 /* Receive enable */
Arthur Heymansadc571a2017-09-25 09:40:54 +02002154 sdram_program_receive_enable(s, fast_boot);
Damien Zammit4b513a62015-08-20 00:37:05 +10002155 printk(BIOS_DEBUG, "Done rcven\n");
2156
Angel Pons9d20c842021-01-13 12:39:37 +01002157 /* Finish rcven */
Damien Zammit4b513a62015-08-20 00:37:05 +10002158 FOR_EACH_CHANNEL(ch) {
Felix Held432575c2018-07-29 18:09:30 +02002159 MCHBAR8_AND(0x400*ch + 0x5d8, ~0xe);
2160 MCHBAR8_OR(0x400*ch + 0x5d8, 0x2);
2161 MCHBAR8_OR(0x400*ch + 0x5d8, 0x4);
2162 MCHBAR8_OR(0x400*ch + 0x5d8, 0x8);
Damien Zammit4b513a62015-08-20 00:37:05 +10002163 }
Felix Held432575c2018-07-29 18:09:30 +02002164 MCHBAR8_OR(0x5dc, 0x80);
2165 MCHBAR8_AND(0x5dc, ~0x80);
2166 MCHBAR8_OR(0x5dc, 0x80);
Damien Zammit4b513a62015-08-20 00:37:05 +10002167
Angel Pons9d20c842021-01-13 12:39:37 +01002168 /* XXX tRD */
Damien Zammit4b513a62015-08-20 00:37:05 +10002169
Arthur Heymans95c48cb2017-11-04 08:07:06 +01002170 if (!fast_boot) {
2171 if (s->selected_timings.mem_clk > MEM_CLOCK_667MHz) {
Elyes HAOUAS5ba154a2020-08-04 13:27:52 +02002172 if (do_write_training(s))
Arthur Heymans95c48cb2017-11-04 08:07:06 +01002173 die("DQ write training failed!");
2174 }
2175 if (do_read_training(s))
2176 die("DQS read training failed!");
2177 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002178
Angel Pons9d20c842021-01-13 12:39:37 +01002179 /* DRADRB */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002180 set_dradrb(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002181 printk(BIOS_DEBUG, "Done DRADRB\n");
2182
Angel Pons9d20c842021-01-13 12:39:37 +01002183 /* Memory map */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002184 configure_mmap(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002185 printk(BIOS_DEBUG, "Done memory map\n");
2186
Angel Pons9d20c842021-01-13 12:39:37 +01002187 /* Enhanced mode */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002188 set_enhanced_mode(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002189 printk(BIOS_DEBUG, "Done enhanced mode\n");
2190
Angel Pons9d20c842021-01-13 12:39:37 +01002191 /* Periodic RCOMP */
Felix Held432575c2018-07-29 18:09:30 +02002192 MCHBAR16_AND_OR(0x160, ~0xfff, 0x999);
2193 MCHBAR16_OR(0x1b4, 0x3000);
2194 MCHBAR8_OR(0x130, 0x82);
Damien Zammit4b513a62015-08-20 00:37:05 +10002195 printk(BIOS_DEBUG, "Done PRCOMP\n");
2196
Angel Pons9d20c842021-01-13 12:39:37 +01002197 /* Power settings */
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002198 power_settings(s);
Damien Zammit4b513a62015-08-20 00:37:05 +10002199 printk(BIOS_DEBUG, "Done power settings\n");
2200
Angel Pons9d20c842021-01-13 12:39:37 +01002201 /* ME related */
Arthur Heymansddc88282017-02-27 16:27:21 +01002202 /*
2203 * FIXME: This locks some registers like bit1 of GGC
2204 * and is only needed in case of ME being used.
2205 */
2206 if (ME_UMA_SIZEMB != 0) {
2207 if (RANK_IS_POPULATED(s->dimms, 0, 0)
2208 || RANK_IS_POPULATED(s->dimms, 1, 0))
Felix Held432575c2018-07-29 18:09:30 +02002209 MCHBAR8_OR(0xa2f, 1 << 0);
Arthur Heymansddc88282017-02-27 16:27:21 +01002210 if (RANK_IS_POPULATED(s->dimms, 0, 1)
2211 || RANK_IS_POPULATED(s->dimms, 1, 1))
Felix Held432575c2018-07-29 18:09:30 +02002212 MCHBAR8_OR(0xa2f, 1 << 1);
2213 MCHBAR32_OR(0xa30, 1 << 26);
Damien Zammitd63115d2016-01-22 19:11:44 +11002214 }
Damien Zammit4b513a62015-08-20 00:37:05 +10002215
Arthur Heymansa2cc2312017-05-15 10:13:36 +02002216 printk(BIOS_DEBUG, "Done raminit\n");
Damien Zammit4b513a62015-08-20 00:37:05 +10002217}