blob: c51422c65356300b7680cef1d7be62eacc13034c [file] [log] [blame]
Duncan Laurie9db8c252020-05-10 11:16:45 -07001fw_config
Nick Vaccaro2cc06002020-06-18 12:19:08 -07002 field DB_USB 0 3
3 option USB_ABSENT 0
4 option USB4_GEN2 1
5 option USB3_ACTIVE 2
6 option USB4_GEN3 3
7 option USB3_PASSIVE 4
8 option USB3_NO_A 5
Duncan Laurie5abf0402020-10-28 15:14:27 -07009 option USB3_NO_C 6
Duncan Laurie9db8c252020-05-10 11:16:45 -070010 end
11 field THERMAL 4 7 end
12 field AUDIO 8 10
13 option NONE 0
14 option MAX98357_ALC5682I_I2S 1
15 option MAX98373_ALC5682I_I2S 2
16 option MAX98373_ALC5682_SNDW 3
Frank Wu362bcee2020-08-19 09:56:43 +080017 option MAX98373_ALC5682I_I2S_UP4 4
Wisley Chen35010ef2020-11-06 17:16:59 +080018 option MAX98360_ALC5682I_I2S 5
Stanley Wu64f7bdf2020-10-30 12:01:20 +080019 option RT1011_ALC5682I_I2S 6
Duncan Laurie9db8c252020-05-10 11:16:45 -070020 end
21 field TABLETMODE 11
Nick Vaccaro2cc06002020-06-18 12:19:08 -070022 option TABLETMODE_DISABLED 0
23 option TABLETMODE_ENABLED 1
Duncan Laurie9db8c252020-05-10 11:16:45 -070024 end
Nick Vaccaro2cc06002020-06-18 12:19:08 -070025 field DB_LTE 12 13
26 option LTE_ABSENT 0
27 option LTE_PRESENT 1
28 end
Duncan Laurie14efbb42020-09-08 20:35:06 +000029 field KB_BL 14
30 option KB_BL_ABSENT 0
31 option KB_BL_PRESENT 1
32 end
33 field NUMPAD 15
34 option NUMPAD_ABSENT 0
35 option NUMPAD_PRESENT 1
36 end
Nick Vaccaro2cc06002020-06-18 12:19:08 -070037 field DB_SD 16 19
38 option SD_ABSENT 0
39 option SD_GL9755S 1
40 option SD_RTS5261 2
Zhuohao Leeb3b4ccf2020-11-23 11:41:25 +080041 option SD_RTS5227S 3
Duncan Laurie912d9ec2020-11-30 10:09:42 -080042 option SD_GL9750 4
Zhuohao Leeb3b4ccf2020-11-23 11:41:25 +080043 option SD_OZ711LV2LN 5
Duncan Laurie9db8c252020-05-10 11:16:45 -070044 end
Duncan Lauriebd049952020-11-11 13:01:27 -080045 field KB_LAYOUT 20 21
46 option KB_LAYOUT_DEFAULT 0
47 option KB_LAYOUT_1 1
48 end
Duncan Laurie89bbe142020-11-30 10:12:56 -080049 field BOOT_DEVICE_EMMC 22
50 option BOOT_EMMC_DISABLED 0
51 option BOOT_EMMC_ENABLED 1
52 end
53 field BOOT_DEVICE_NVME 23
54 option BOOT_NVME_DISABLED 0
55 option BOOT_NVME_ENABLED 1
56 end
57 field BOOT_DEVICE_SATA 24
58 option BOOT_SATA_DISABLED 0
59 option BOOT_SATA_ENABLED 1
60 end
Zhuohao Lee275440e2021-01-19 13:06:18 +080061 field TOUCHPAD 25
62 option REGULAR_TOUCHPAD 0
63 option NUMPAD_TOUCHPAD 1
64 end
Kevin Chang1c02f6f2021-03-10 09:22:09 +080065 field WIFI_SAR_ID 26 27
66 option WIFI_SAR_ID_0 0
67 option WIFI_SAR_ID_1 1
68 option WIFI_SAR_ID_2 2
69 option WIFI_SAR_ID_3 3
70 end
Kevin Changc48cf112021-04-07 15:18:25 +080071 field OLED_SCREEN 28
72 option OLED_NOT_PRESENT 0
73 option OLED_PRESENT 1
74 end
Duncan Laurie9db8c252020-05-10 11:16:45 -070075end
76
Nick Vaccarof9781912020-01-28 18:43:28 -080077chip soc/intel/tigerlake
78
79 device cpu_cluster 0 on
80 device lapic 0 on end
81 end
82
83 # GPE configuration
84 # Note that GPE events called out in ASL code rely on this
85 # route. i.e. If this route changes then the affected GPE
86 # offset bits also need to be changed.
87 register "pmc_gpe0_dw0" = "GPP_C"
88 register "pmc_gpe0_dw1" = "GPP_D"
89 register "pmc_gpe0_dw2" = "GPP_E"
90
Jamie Ryu154625b2020-06-12 02:59:26 -070091 # Enable heci communication
92 register "HeciEnabled" = "1"
93
Nick Vaccarof9781912020-01-28 18:43:28 -080094 # FSP configuration
Shreesh Chhabbi37086872020-06-17 12:40:42 -070095 register "SaGv" = "SaGv_Enabled"
Nick Vaccarof9781912020-01-28 18:43:28 -080096 register "SmbusEnable" = "0"
97
98 register "usb2_ports[0]" = "USB2_PORT_MID(OC_SKIP)" # Type-A Port A0
99 register "usb2_ports[1]" = "USB2_PORT_MID(OC_SKIP)" # Type-A Port A1
100 register "usb2_ports[2]" = "USB2_PORT_MID(OC_SKIP)" # M.2 WWAN
101 register "usb2_ports[3]" = "USB2_PORT_MID(OC_SKIP)" # Type-A / Type-C Cl
102 register "usb2_ports[4]" = "USB2_PORT_MID(OC_SKIP)" # M.2 Camera
Nick Vaccarof9781912020-01-28 18:43:28 -0800103 register "usb2_ports[8]" = "USB2_PORT_MID(OC_SKIP)" # Type-A / Type-C Co
104 register "usb2_ports[9]" = "USB2_PORT_MID(OC_SKIP)" # M.2 Bluetooth
105
106 register "usb3_ports[0]" = "USB3_PORT_DEFAULT(OC1)" # USB3/2 Type A port A0
107 register "usb3_ports[1]" = "USB3_PORT_DEFAULT(OC2)" # USB3/2 Type A port A1
108 register "usb3_ports[2]" = "USB3_PORT_DEFAULT(OC_SKIP)" # M.2 WWAN
109 register "usb3_ports[3]" = "USB3_PORT_DEFAULT(OC_SKIP)" # M.2 Camera
110
Nick Vaccarof9781912020-01-28 18:43:28 -0800111 # EC host command ranges are in 0x800-0x8ff & 0x200-0x20f
112 register "gen1_dec" = "0x00fc0801"
113 register "gen2_dec" = "0x000c0201"
114 # EC memory map range is 0x900-0x9ff
115 register "gen3_dec" = "0x00fc0901"
116
117 # Enable NVMe PCIE 9 using clk 0
118 register "PcieRpEnable[8]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700119 register "PcieRpLtrEnable[8]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800120 register "PcieClkSrcUsage[0]" = "8"
121 register "PcieClkSrcClkReq[0]" = "0"
122
Venkata Krishna Nimmagaddac34bb382020-01-15 10:13:26 -0800123 # Enable Optane PCIE 11 using clk 0
124 register "PcieRpEnable[10]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700125 register "PcieRpLtrEnable[10]" = "1"
Shaunak Sahab27b0fd2020-09-22 23:09:24 -0700126 register "HybridStorageMode" = "0"
Venkata Krishna Nimmagaddac34bb382020-01-15 10:13:26 -0800127
Nick Vaccarof9781912020-01-28 18:43:28 -0800128 # Enable SD Card PCIE 8 using clk 3
129 register "PcieRpEnable[7]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700130 register "PcieRpLtrEnable[7]" = "1"
nick_xr_chenf446b812020-06-30 09:34:33 +0800131 register "PcieRpHotPlug[7]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800132 register "PcieClkSrcUsage[3]" = "7"
133 register "PcieClkSrcClkReq[3]" = "3"
134
135 # Enable WLAN PCIE 7 using clk 1
136 register "PcieRpEnable[6]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700137 register "PcieRpLtrEnable[6]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800138 register "PcieClkSrcUsage[1]" = "6"
139 register "PcieClkSrcClkReq[1]" = "1"
140
Nick Vaccarof9781912020-01-28 18:43:28 -0800141 # Mark SRCCLKREQ pins as unused that are routed for a Non-Clkreq functionality
David Wu7d1a1372020-10-21 10:42:25 +0800142 register "PcieClkSrcUsage[2]" = "PCIE_CLK_NOTUSED"
143 register "PcieClkSrcUsage[4]" = "PCIE_CLK_NOTUSED"
144 register "PcieClkSrcUsage[5]" = "PCIE_CLK_NOTUSED"
145 register "PcieClkSrcUsage[6]" = "PCIE_CLK_NOTUSED"
Nick Vaccarof9781912020-01-28 18:43:28 -0800146
147 # Enable SATA
148 register "SataEnable" = "1"
149 register "SataMode" = "0"
150 register "SataSalpSupport" = "1"
151 register "SataPortsEnable[0]" = "0"
152 register "SataPortsEnable[1]" = "1"
153 register "SataPortsDevSlp[0]" = "0"
Wonkyu Kimb8bfe142020-04-21 17:07:57 -0700154 register "SataPortsDevSlp[1]" = "1"
Shaunak Saha60e6f6e2020-06-15 23:59:52 -0700155 register "SataPortsEnableDitoConfig[1]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800156
157 register "SerialIoI2cMode" = "{
158 [PchSerialIoIndexI2C0] = PchSerialIoPci,
159 [PchSerialIoIndexI2C1] = PchSerialIoPci,
160 [PchSerialIoIndexI2C2] = PchSerialIoPci,
161 [PchSerialIoIndexI2C3] = PchSerialIoPci,
162 [PchSerialIoIndexI2C4] = PchSerialIoDisabled,
163 [PchSerialIoIndexI2C5] = PchSerialIoPci,
164 }"
165
166 register "SerialIoGSpiMode" = "{
167 [PchSerialIoIndexGSPI0] = PchSerialIoPci,
168 [PchSerialIoIndexGSPI1] = PchSerialIoPci,
169 [PchSerialIoIndexGSPI2] = PchSerialIoDisabled,
170 [PchSerialIoIndexGSPI3] = PchSerialIoDisabled,
171 }"
172
173 register "SerialIoGSpiCsMode" = "{
174 [PchSerialIoIndexGSPI0] = 1,
175 [PchSerialIoIndexGSPI1] = 1,
176 [PchSerialIoIndexGSPI2] = 0,
177 [PchSerialIoIndexGSPI3] = 0,
178 }"
179
180 register "SerialIoGSpiCsState" = "{
Caveh Jalali85e4c432020-09-12 03:05:48 -0700181 [PchSerialIoIndexGSPI0] = 1,
182 [PchSerialIoIndexGSPI1] = 1,
Nick Vaccarof9781912020-01-28 18:43:28 -0800183 [PchSerialIoIndexGSPI2] = 0,
184 [PchSerialIoIndexGSPI3] = 0,
185 }"
186
187 register "SerialIoUartMode" = "{
188 [PchSerialIoIndexUART0] = PchSerialIoPci,
189 [PchSerialIoIndexUART1] = PchSerialIoDisabled,
190 [PchSerialIoIndexUART2] = PchSerialIoDisabled,
191 }"
192
Jamie Ryu80535952020-08-18 19:10:43 -0700193 # Set the minimum assertion width
194 # PchPmSlpS3MinAssert:
195 # - 1: 60us
196 # - 2: 1ms
197 # - 3: 50ms
198 # - 4: 2s
199 register "PchPmSlpS3MinAssert" = "3" # 50ms
200 # PchPmSlpS4MinAssert:
201 # - 1 = 1s
202 # - 2 = 2s
203 # - 3 = 3s
204 # - 4 = 4s
205 register "PchPmSlpS4MinAssert" = "1" # 1s
206 # PchPmSlpSusMinAssert:
207 # - 1 = 0ms
208 # - 2 = 500ms
209 # - 3 = 1s
210 # - 4 = 4s
211 register "PchPmSlpSusMinAssert" = "3" # 1s
212 # PchPmSlpAMinAssert
213 # - 1 = 0ms
214 # - 2 = 4s
215 # - 3 = 98ms
216 # - 4 = 2s
217 register "PchPmSlpAMinAssert" = "3" # 98ms
218
219 # NOTE: Duration programmed in the below register should never be smaller than the
220 # stretch duration programmed in the following registers -
221 # - GEN_PMCON_A.SLP_S3_MIN_ASST_WDTH (PchPmSlpS3MinAssert)
222 # - GEN_PMCON_A.S4MAW (PchPmSlpS4MinAssert)
223 # - PM_CFG.SLP_A_MIN_ASST_WDTH (PchPmSlpAMinAssert)
224 # - PM_CFG.SLP_LAN_MIN_ASST_WDTH
225 register "PchPmPwrCycDur" = "1" # 1s
226
Srinidhi N Kaushik22d5b072020-03-06 10:47:17 -0800227 # HD Audio
228 register "PchHdaDspEnable" = "1"
229 register "PchHdaAudioLinkHdaEnable" = "0"
Duncan Laurie4dffa9c2020-05-10 11:20:20 -0700230 register "PchHdaAudioLinkDmicEnable[0]" = "0"
231 register "PchHdaAudioLinkDmicEnable[1]" = "0"
232 register "PchHdaAudioLinkSspEnable[0]" = "0"
233 register "PchHdaAudioLinkSspEnable[1]" = "0"
234 register "PchHdaAudioLinkSndwEnable[0]" = "0"
235 register "PchHdaAudioLinkSndwEnable[1]" = "0"
Srinidhi N Kaushik22d5b072020-03-06 10:47:17 -0800236
Brandon Breitenstein01ec7132020-03-06 10:51:30 -0800237 # TCSS USB3
Brandon Breitenstein40b53582020-12-21 14:57:50 -0800238 register "UsbTcPortEn" = "0x3"
Brandon Breitenstein01ec7132020-03-06 10:51:30 -0800239 register "TcssXhciEn" = "1"
Brandon Breitenstein1df3b702020-08-10 15:02:41 -0700240 register "TcssAuxOri" = "0"
Brandon Breitensteinb7911c82020-04-06 15:34:19 -0700241
Nick Vaccarof9781912020-01-28 18:43:28 -0800242 # DP port
243 register "DdiPortAConfig" = "1" # eDP
244 register "DdiPortBConfig" = "0"
245
246 register "DdiPortAHpd" = "1"
247 register "DdiPortBHpd" = "1"
248 register "DdiPortCHpd" = "0"
249 register "DdiPort1Hpd" = "1"
250 register "DdiPort2Hpd" = "1"
251 register "DdiPort3Hpd" = "0"
252 register "DdiPort4Hpd" = "0"
253
254 register "DdiPortADdc" = "0"
255 register "DdiPortBDdc" = "1"
256 register "DdiPortCDdc" = "0"
257 register "DdiPort1Ddc" = "0"
258 register "DdiPort2Ddc" = "0"
259 register "DdiPort3Ddc" = "0"
260 register "DdiPort4Ddc" = "0"
261
Nick Vaccarof9781912020-01-28 18:43:28 -0800262 # Enable S0ix
263 register "s0ix_enable" = "1"
264
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530265 # Enable DPTF
266 register "dptf_enable" = "1"
267
Shreesh Chhabbi3c6ad8d2021-02-04 13:16:24 -0800268 # Enable External Bypass
269 register "external_bypass" = "1"
270
271 # Enable External Clk Gate
272 register "external_clk_gated" = "1"
273
274 # Enable External Phy Gate
275 register "external_phy_gated" = "1"
276
Sumeet R Pawnikar1a621502020-07-20 15:44:59 +0530277 register "power_limits_config[POWER_LIMITS_U_2_CORE]" = "{
278 .tdp_pl1_override = 15,
279 .tdp_pl2_override = 38,
280 .tdp_pl4 = 71,
281 }"
Tim Wawrzynczak2dcca0f2020-06-16 10:50:47 -0600282 register "power_limits_config[POWER_LIMITS_U_4_CORE]" = "{
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530283 .tdp_pl1_override = 15,
284 .tdp_pl2_override = 60,
Tim Wawrzynczak2dcca0f2020-06-16 10:50:47 -0600285 .tdp_pl4 = 105,
286 }"
Sumeet R Pawnikar1a621502020-07-20 15:44:59 +0530287 register "power_limits_config[POWER_LIMITS_Y_2_CORE]" = "{
288 .tdp_pl1_override = 9,
289 .tdp_pl2_override = 35,
290 .tdp_pl4 = 66,
291 }"
292 register "power_limits_config[POWER_LIMITS_Y_4_CORE]" = "{
293 .tdp_pl1_override = 9,
294 .tdp_pl2_override = 40,
295 .tdp_pl4 = 83,
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530296 }"
297
298 register "Device4Enable" = "1"
299
Sumeet R Pawnikar9f9b97e2020-06-30 14:18:41 +0530300 register "tcc_offset" = "10" # TCC of 90
301
Cliff Huang2eee6c32021-02-05 14:29:27 -0800302 register "CnviBtCore" = "true"
303
Angel Pons98521c52021-03-01 21:16:49 +0100304 register "CnviBtAudioOffload" = "true"
John Zhaoc8e30972020-09-21 13:20:57 -0700305
Nick Vaccarof9781912020-01-28 18:43:28 -0800306 # Intel Common SoC Config
307 #+-------------------+---------------------------+
308 #| Field | Value |
309 #+-------------------+---------------------------+
310 #| chipset_lockdown | CHIPSET_LOCKDOWN_COREBOOT |
311 #| GSPI0 | cr50 TPM. Early init is |
312 #| | required to set up a BAR |
313 #| | for TPM communication |
314 #| | before memory is up |
Alex Levin3bc41cf2020-03-06 10:54:10 -0800315 #| GSPI1 | Fingerprint MCU |
Nick Vaccarof9781912020-01-28 18:43:28 -0800316 #| I2C0 | Audio |
317 #| I2C1 | Touchscreen |
318 #| I2C2 | WLAN, SAR0 |
319 #| I2C3 | Camera, SAR1 |
320 #| I2C5 | Trackpad |
321 #+-------------------+---------------------------+
322 register "common_soc_config" = "{
323 .chipset_lockdown = CHIPSET_LOCKDOWN_COREBOOT,
324 .gspi[0] = {
325 .speed_mhz = 1,
326 .early_init = 1,
327 },
328 .i2c[0] = {
329 .speed = I2C_SPEED_FAST,
330 },
331 .i2c[1] = {
332 .speed = I2C_SPEED_FAST,
333 },
334 .i2c[2] = {
335 .speed = I2C_SPEED_FAST,
336 },
337 .i2c[3] = {
338 .speed = I2C_SPEED_FAST,
339 },
340 .i2c[5] = {
341 .speed = I2C_SPEED_FAST,
342 },
343 }"
344
Venkata Krishna Nimmagadda7368da32020-06-09 00:11:34 -0700345 register "ext_fivr_settings" = "{
346 .configure_ext_fivr = 1,
347 .v1p05_enable_bitmap = FIVR_ENABLE_ALL_SX,
348 .vnn_enable_bitmap = FIVR_ENABLE_ALL_SX,
349 .v1p05_supported_voltage_bitmap = FIVR_VOLTAGE_NORMAL |
350 FIVR_VOLTAGE_MIN_ACTIVE |
351 FIVR_VOLTAGE_MIN_RETENTION,
352 .vnn_supported_voltage_bitmap = FIVR_VOLTAGE_NORMAL |
353 FIVR_VOLTAGE_MIN_ACTIVE |
354 FIVR_VOLTAGE_MIN_RETENTION,
355 .v1p05_icc_max_ma = 500,
356 .vnn_sx_voltage_mv = 1250,
357 }"
358
Shaunak Saha82d51232021-02-17 23:26:43 -0800359 # Acoustic settings
360 register "AcousticNoiseMitigation" = "1"
361 register "SlowSlewRate" = "SLEW_FAST_8"
362 register "FastPkgCRampDisable" = "1"
363
Nick Vaccarof9781912020-01-28 18:43:28 -0800364 device domain 0 on
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700365 device ref igpu on end
366 device ref dptf on
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600367 # Default DPTF Policy for all Volteer boards if not overridden
368 chip drivers/intel/dptf
369 ## Active Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600370 register "policies.active" = "{
371 [0] = {.target = DPTF_CPU,
372 .thresholds = {TEMP_PCT(85, 90),
373 TEMP_PCT(80, 69),
374 TEMP_PCT(75, 56),
375 TEMP_PCT(70, 46),
376 TEMP_PCT(65, 36),}},
377 [1] = {.target = DPTF_TEMP_SENSOR_0,
378 .thresholds = {TEMP_PCT(50, 90),
379 TEMP_PCT(47, 69),
380 TEMP_PCT(45, 56),
381 TEMP_PCT(42, 46),
382 TEMP_PCT(39, 36),}},
383 [2] = {.target = DPTF_TEMP_SENSOR_1,
384 .thresholds = {TEMP_PCT(50, 90),
385 TEMP_PCT(47, 69),
386 TEMP_PCT(45, 56),
387 TEMP_PCT(42, 46),
388 TEMP_PCT(39, 36),}},
389 [3] = {.target = DPTF_TEMP_SENSOR_2,
390 .thresholds = {TEMP_PCT(50, 90),
391 TEMP_PCT(47, 69),
392 TEMP_PCT(45, 56),
393 TEMP_PCT(42, 46),
394 TEMP_PCT(39, 36),}},
395 [4] = {.target = DPTF_TEMP_SENSOR_3,
396 .thresholds = {TEMP_PCT(50, 90),
397 TEMP_PCT(47, 69),
398 TEMP_PCT(45, 56),
399 TEMP_PCT(42, 46),
400 TEMP_PCT(39, 36),}}}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600401
402 ## Passive Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600403 register "policies.passive" = "{
404 [0] = DPTF_PASSIVE(CPU, CPU, 95, 5000),
405 [1] = DPTF_PASSIVE(CPU, TEMP_SENSOR_1, 65, 6000),
406 [2] = DPTF_PASSIVE(CHARGER, TEMP_SENSOR_0, 65, 6000),
407 [3] = DPTF_PASSIVE(CPU, TEMP_SENSOR_2, 65, 6000),
408 [4] = DPTF_PASSIVE(CPU, TEMP_SENSOR_3, 65, 6000)}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600409
410 ## Critical Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600411 register "policies.critical" = "{
412 [0] = DPTF_CRITICAL(CPU, 105, SHUTDOWN),
413 [1] = DPTF_CRITICAL(TEMP_SENSOR_0, 75, SHUTDOWN),
414 [2] = DPTF_CRITICAL(TEMP_SENSOR_1, 75, SHUTDOWN),
415 [3] = DPTF_CRITICAL(TEMP_SENSOR_2, 75, SHUTDOWN),
416 [4] = DPTF_CRITICAL(TEMP_SENSOR_3, 75, SHUTDOWN)}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600417
418 ## Power Limits Control
Sumeet R Pawnikar88352c52020-10-08 21:15:42 +0530419 # 3-15W PL1 in 200mW increments, avg over 28-32s interval
420 # PL2 ranges from 15 to 60W, avg over 28-32s interval
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600421 register "controls.power_limits" = "{
422 .pl1 = {.min_power = 3000,
423 .max_power = 15000,
424 .time_window_min = 28 * MSECS_PER_SEC,
425 .time_window_max = 32 * MSECS_PER_SEC,
426 .granularity = 200,},
Sumeet R Pawnikara97fb7f2020-12-04 11:48:24 +0530427 .pl2 = {.min_power = 60000,
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600428 .max_power = 60000,
429 .time_window_min = 28 * MSECS_PER_SEC,
430 .time_window_max = 32 * MSECS_PER_SEC,
431 .granularity = 1000,}}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600432
433 ## Charger Performance Control (Control, mA)
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600434 register "controls.charger_perf" = "{
435 [0] = { 255, 1700 },
436 [1] = { 24, 1500 },
437 [2] = { 16, 1000 },
438 [3] = { 8, 500 }}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600439
440 ## Fan Performance Control (Percent, Speed, Noise, Power)
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600441 register "controls.fan_perf" = "{
442 [0] = { 90, 6700, 220, 2200, },
443 [1] = { 80, 5800, 180, 1800, },
444 [2] = { 70, 5000, 145, 1450, },
445 [3] = { 60, 4900, 115, 1150, },
446 [4] = { 50, 3838, 90, 900, },
447 [5] = { 40, 2904, 55, 550, },
448 [6] = { 30, 2337, 30, 300, },
449 [7] = { 20, 1608, 15, 150, },
450 [8] = { 10, 800, 10, 100, },
451 [9] = { 0, 0, 0, 50, }}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600452
453 # Fan options
454 register "options.fan.fine_grained_control" = "1"
455 register "options.fan.step_size" = "2"
456
457 device generic 0 on end
458 end
459 end # DPTF 0x9A03
Duncan Laurie2b3de782020-10-28 14:26:26 -0700460 # Volteer reference design does not have PCIe on Type-C port C0 so it should
461 # not have hotplug resources allocated. Marking the device hidden will ensure
462 # it is still enabled so it can participate in power management.
463 device ref tbt_pcie_rp0 hidden
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700464 probe DB_USB USB4_GEN2
465 probe DB_USB USB4_GEN3
466 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700467 device ref tbt_pcie_rp1 on
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700468 probe DB_USB USB4_GEN2
469 probe DB_USB USB4_GEN3
470 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700471 device ref tbt_dma0 on
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700472 probe DB_USB USB4_GEN2
473 probe DB_USB USB4_GEN3
Duncan Laurie5b6ec3e2020-08-28 19:50:09 +0000474 chip drivers/intel/usb4/retimer
475 register "power_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_HIGH(GPP_H10)"
476 device generic 0 on end
477 end
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700478 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700479 device ref gna on end
480 device ref north_xhci on end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700481 device ref south_xhci on end
482 device ref shared_ram on end
Furquan Shaikhedac4ef2020-10-09 08:50:14 -0700483 device ref cnvi_wifi on
484 chip drivers/wifi/generic
485 register "wake" = "GPE0_PME_B0"
486 device generic 0 on end
487 end
Srinidhi N Kaushikac7d6b42020-03-05 17:19:51 -0800488 end
Tim Wawrzynczakc8340d42020-12-09 09:40:23 -0700489 # MIPI camera devices are on I2C buses 2 and 3
490 device ref i2c2 on end
491 device ref i2c3 on end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700492 device ref heci1 on end
493 device ref sata on end
494 device ref pcie_rp1 on end
495 device ref pcie_rp7 on end
Duncan Laurie9d0fde32020-11-09 09:36:31 -0800496 device ref pcie_rp8 on
497 probe DB_SD SD_GL9755S
498 probe DB_SD SD_RTS5261
Duncan Laurie912d9ec2020-11-30 10:09:42 -0800499 probe DB_SD SD_RTS5227S
500 probe DB_SD SD_GL9750
501 probe DB_SD SD_OZ711LV2LN
Duncan Laurie9d0fde32020-11-09 09:36:31 -0800502 chip soc/intel/common/block/pcie/rtd3
503 register "enable_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_HIGH(GPP_D16)"
504 register "reset_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_LOW(GPP_H3)"
505 register "srcclk_pin" = "3"
506 device generic 0 on
507 probe DB_SD SD_GL9755S
Duncan Laurie912d9ec2020-11-30 10:09:42 -0800508 probe DB_SD SD_RTS5227S
509 probe DB_SD SD_GL9750
510 probe DB_SD SD_OZ711LV2LN
Duncan Laurie9d0fde32020-11-09 09:36:31 -0800511 end
512 end
513 chip soc/intel/common/block/pcie/rtd3
514 register "reset_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_LOW(GPP_H3)"
515 register "srcclk_pin" = "3"
516 register "is_external" = "1"
517 device generic 1 on
518 probe DB_SD SD_RTS5261
519 end
520 end
521 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700522 device ref pcie_rp9 on end
523 device ref pcie_rp11 on end
524 device ref uart0 on end
525 device ref gspi0 on
Nick Vaccarof9781912020-01-28 18:43:28 -0800526 chip drivers/spi/acpi
527 register "hid" = "ACPI_DT_NAMESPACE_HID"
528 register "compat_string" = ""google,cr50""
529 register "irq" = "ACPI_IRQ_EDGE_LOW(GPP_C21_IRQ)"
530 device spi 0 on end
531 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700532 end
533 device ref gspi1 on
Alex Levin3bc41cf2020-03-06 10:54:10 -0800534 chip drivers/spi/acpi
535 register "name" = ""CRFP""
536 register "hid" = "ACPI_DT_NAMESPACE_HID"
537 register "uid" = "1"
538 register "compat_string" = ""google,cros-ec-spi""
539 register "irq_gpio" = "ACPI_GPIO_IRQ_LEVEL_LOW_WAKE(GPP_C20)"
540 device spi 0 on end
541 end # FPMCU
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700542 end
543 device ref pch_espi on
Nick Vaccaro9a3486e2020-04-17 10:14:57 -0700544 chip ec/google/chromeec
545 device pnp 0c09.0 on end
546 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700547 end
Tim Wawrzynczak2f917e62020-12-09 10:11:06 -0700548 device ref hda on
549 probe AUDIO MAX98357_ALC5682I_I2S
550 probe AUDIO MAX98373_ALC5682I_I2S
551 probe AUDIO MAX98373_ALC5682_SNDW
552 probe AUDIO MAX98373_ALC5682I_I2S_UP4
553 probe AUDIO MAX98360_ALC5682I_I2S
554 end
Nick Vaccarof9781912020-01-28 18:43:28 -0800555 end
556end