blob: 6a826c0bd2927a2c3631f8ea0da08343b3fb8ed5 [file] [log] [blame]
Duncan Laurie9db8c252020-05-10 11:16:45 -07001fw_config
Nick Vaccaro2cc06002020-06-18 12:19:08 -07002 field DB_USB 0 3
3 option USB_ABSENT 0
4 option USB4_GEN2 1
5 option USB3_ACTIVE 2
6 option USB4_GEN3 3
7 option USB3_PASSIVE 4
8 option USB3_NO_A 5
Duncan Laurie5abf0402020-10-28 15:14:27 -07009 option USB3_NO_C 6
Duncan Laurie9db8c252020-05-10 11:16:45 -070010 end
11 field THERMAL 4 7 end
12 field AUDIO 8 10
13 option NONE 0
14 option MAX98357_ALC5682I_I2S 1
15 option MAX98373_ALC5682I_I2S 2
16 option MAX98373_ALC5682_SNDW 3
Frank Wu362bcee2020-08-19 09:56:43 +080017 option MAX98373_ALC5682I_I2S_UP4 4
Wisley Chen35010ef2020-11-06 17:16:59 +080018 option MAX98360_ALC5682I_I2S 5
Stanley Wu64f7bdf2020-10-30 12:01:20 +080019 option RT1011_ALC5682I_I2S 6
Duncan Laurie9db8c252020-05-10 11:16:45 -070020 end
21 field TABLETMODE 11
Nick Vaccaro2cc06002020-06-18 12:19:08 -070022 option TABLETMODE_DISABLED 0
23 option TABLETMODE_ENABLED 1
Duncan Laurie9db8c252020-05-10 11:16:45 -070024 end
Nick Vaccaro2cc06002020-06-18 12:19:08 -070025 field DB_LTE 12 13
26 option LTE_ABSENT 0
27 option LTE_PRESENT 1
28 end
Duncan Laurie14efbb42020-09-08 20:35:06 +000029 field KB_BL 14
30 option KB_BL_ABSENT 0
31 option KB_BL_PRESENT 1
32 end
33 field NUMPAD 15
34 option NUMPAD_ABSENT 0
35 option NUMPAD_PRESENT 1
36 end
Nick Vaccaro2cc06002020-06-18 12:19:08 -070037 field DB_SD 16 19
38 option SD_ABSENT 0
39 option SD_GL9755S 1
40 option SD_RTS5261 2
Zhuohao Leeb3b4ccf2020-11-23 11:41:25 +080041 option SD_RTS5227S 3
Duncan Laurie912d9ec2020-11-30 10:09:42 -080042 option SD_GL9750 4
Zhuohao Leeb3b4ccf2020-11-23 11:41:25 +080043 option SD_OZ711LV2LN 5
Duncan Laurie9db8c252020-05-10 11:16:45 -070044 end
Duncan Lauriebd049952020-11-11 13:01:27 -080045 field KB_LAYOUT 20 21
46 option KB_LAYOUT_DEFAULT 0
47 option KB_LAYOUT_1 1
48 end
Duncan Laurie89bbe142020-11-30 10:12:56 -080049 field BOOT_DEVICE_EMMC 22
50 option BOOT_EMMC_DISABLED 0
51 option BOOT_EMMC_ENABLED 1
52 end
53 field BOOT_DEVICE_NVME 23
54 option BOOT_NVME_DISABLED 0
55 option BOOT_NVME_ENABLED 1
56 end
57 field BOOT_DEVICE_SATA 24
58 option BOOT_SATA_DISABLED 0
59 option BOOT_SATA_ENABLED 1
60 end
Zhuohao Lee275440e2021-01-19 13:06:18 +080061 field TOUCHPAD 25
62 option REGULAR_TOUCHPAD 0
63 option NUMPAD_TOUCHPAD 1
64 end
Duncan Laurie9db8c252020-05-10 11:16:45 -070065end
66
Nick Vaccarof9781912020-01-28 18:43:28 -080067chip soc/intel/tigerlake
68
69 device cpu_cluster 0 on
70 device lapic 0 on end
71 end
72
73 # GPE configuration
74 # Note that GPE events called out in ASL code rely on this
75 # route. i.e. If this route changes then the affected GPE
76 # offset bits also need to be changed.
77 register "pmc_gpe0_dw0" = "GPP_C"
78 register "pmc_gpe0_dw1" = "GPP_D"
79 register "pmc_gpe0_dw2" = "GPP_E"
80
Jamie Ryu154625b2020-06-12 02:59:26 -070081 # Enable heci communication
82 register "HeciEnabled" = "1"
83
Nick Vaccarof9781912020-01-28 18:43:28 -080084 # FSP configuration
Shreesh Chhabbi37086872020-06-17 12:40:42 -070085 register "SaGv" = "SaGv_Enabled"
Nick Vaccarof9781912020-01-28 18:43:28 -080086 register "SmbusEnable" = "0"
87
88 register "usb2_ports[0]" = "USB2_PORT_MID(OC_SKIP)" # Type-A Port A0
89 register "usb2_ports[1]" = "USB2_PORT_MID(OC_SKIP)" # Type-A Port A1
90 register "usb2_ports[2]" = "USB2_PORT_MID(OC_SKIP)" # M.2 WWAN
91 register "usb2_ports[3]" = "USB2_PORT_MID(OC_SKIP)" # Type-A / Type-C Cl
92 register "usb2_ports[4]" = "USB2_PORT_MID(OC_SKIP)" # M.2 Camera
Nick Vaccarof9781912020-01-28 18:43:28 -080093 register "usb2_ports[8]" = "USB2_PORT_MID(OC_SKIP)" # Type-A / Type-C Co
94 register "usb2_ports[9]" = "USB2_PORT_MID(OC_SKIP)" # M.2 Bluetooth
95
96 register "usb3_ports[0]" = "USB3_PORT_DEFAULT(OC1)" # USB3/2 Type A port A0
97 register "usb3_ports[1]" = "USB3_PORT_DEFAULT(OC2)" # USB3/2 Type A port A1
98 register "usb3_ports[2]" = "USB3_PORT_DEFAULT(OC_SKIP)" # M.2 WWAN
99 register "usb3_ports[3]" = "USB3_PORT_DEFAULT(OC_SKIP)" # M.2 Camera
100
Nick Vaccarof9781912020-01-28 18:43:28 -0800101 # EC host command ranges are in 0x800-0x8ff & 0x200-0x20f
102 register "gen1_dec" = "0x00fc0801"
103 register "gen2_dec" = "0x000c0201"
104 # EC memory map range is 0x900-0x9ff
105 register "gen3_dec" = "0x00fc0901"
106
107 # Enable NVMe PCIE 9 using clk 0
108 register "PcieRpEnable[8]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700109 register "PcieRpLtrEnable[8]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800110 register "PcieClkSrcUsage[0]" = "8"
111 register "PcieClkSrcClkReq[0]" = "0"
112
Venkata Krishna Nimmagaddac34bb382020-01-15 10:13:26 -0800113 # Enable Optane PCIE 11 using clk 0
114 register "PcieRpEnable[10]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700115 register "PcieRpLtrEnable[10]" = "1"
Shaunak Sahab27b0fd2020-09-22 23:09:24 -0700116 register "HybridStorageMode" = "0"
Venkata Krishna Nimmagaddac34bb382020-01-15 10:13:26 -0800117
Nick Vaccarof9781912020-01-28 18:43:28 -0800118 # Enable SD Card PCIE 8 using clk 3
119 register "PcieRpEnable[7]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700120 register "PcieRpLtrEnable[7]" = "1"
nick_xr_chenf446b812020-06-30 09:34:33 +0800121 register "PcieRpHotPlug[7]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800122 register "PcieClkSrcUsage[3]" = "7"
123 register "PcieClkSrcClkReq[3]" = "3"
124
125 # Enable WLAN PCIE 7 using clk 1
126 register "PcieRpEnable[6]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700127 register "PcieRpLtrEnable[6]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800128 register "PcieClkSrcUsage[1]" = "6"
129 register "PcieClkSrcClkReq[1]" = "1"
130
Nick Vaccarof9781912020-01-28 18:43:28 -0800131 # Mark SRCCLKREQ pins as unused that are routed for a Non-Clkreq functionality
David Wu7d1a1372020-10-21 10:42:25 +0800132 register "PcieClkSrcUsage[2]" = "PCIE_CLK_NOTUSED"
133 register "PcieClkSrcUsage[4]" = "PCIE_CLK_NOTUSED"
134 register "PcieClkSrcUsage[5]" = "PCIE_CLK_NOTUSED"
135 register "PcieClkSrcUsage[6]" = "PCIE_CLK_NOTUSED"
Nick Vaccarof9781912020-01-28 18:43:28 -0800136
137 # Enable SATA
138 register "SataEnable" = "1"
139 register "SataMode" = "0"
140 register "SataSalpSupport" = "1"
141 register "SataPortsEnable[0]" = "0"
142 register "SataPortsEnable[1]" = "1"
143 register "SataPortsDevSlp[0]" = "0"
Wonkyu Kimb8bfe142020-04-21 17:07:57 -0700144 register "SataPortsDevSlp[1]" = "1"
Shaunak Saha60e6f6e2020-06-15 23:59:52 -0700145 register "SataPortsEnableDitoConfig[1]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800146
147 register "SerialIoI2cMode" = "{
148 [PchSerialIoIndexI2C0] = PchSerialIoPci,
149 [PchSerialIoIndexI2C1] = PchSerialIoPci,
150 [PchSerialIoIndexI2C2] = PchSerialIoPci,
151 [PchSerialIoIndexI2C3] = PchSerialIoPci,
152 [PchSerialIoIndexI2C4] = PchSerialIoDisabled,
153 [PchSerialIoIndexI2C5] = PchSerialIoPci,
154 }"
155
156 register "SerialIoGSpiMode" = "{
157 [PchSerialIoIndexGSPI0] = PchSerialIoPci,
158 [PchSerialIoIndexGSPI1] = PchSerialIoPci,
159 [PchSerialIoIndexGSPI2] = PchSerialIoDisabled,
160 [PchSerialIoIndexGSPI3] = PchSerialIoDisabled,
161 }"
162
163 register "SerialIoGSpiCsMode" = "{
164 [PchSerialIoIndexGSPI0] = 1,
165 [PchSerialIoIndexGSPI1] = 1,
166 [PchSerialIoIndexGSPI2] = 0,
167 [PchSerialIoIndexGSPI3] = 0,
168 }"
169
170 register "SerialIoGSpiCsState" = "{
Caveh Jalali85e4c432020-09-12 03:05:48 -0700171 [PchSerialIoIndexGSPI0] = 1,
172 [PchSerialIoIndexGSPI1] = 1,
Nick Vaccarof9781912020-01-28 18:43:28 -0800173 [PchSerialIoIndexGSPI2] = 0,
174 [PchSerialIoIndexGSPI3] = 0,
175 }"
176
177 register "SerialIoUartMode" = "{
178 [PchSerialIoIndexUART0] = PchSerialIoPci,
179 [PchSerialIoIndexUART1] = PchSerialIoDisabled,
180 [PchSerialIoIndexUART2] = PchSerialIoDisabled,
181 }"
182
Jamie Ryu80535952020-08-18 19:10:43 -0700183 # Set the minimum assertion width
184 # PchPmSlpS3MinAssert:
185 # - 1: 60us
186 # - 2: 1ms
187 # - 3: 50ms
188 # - 4: 2s
189 register "PchPmSlpS3MinAssert" = "3" # 50ms
190 # PchPmSlpS4MinAssert:
191 # - 1 = 1s
192 # - 2 = 2s
193 # - 3 = 3s
194 # - 4 = 4s
195 register "PchPmSlpS4MinAssert" = "1" # 1s
196 # PchPmSlpSusMinAssert:
197 # - 1 = 0ms
198 # - 2 = 500ms
199 # - 3 = 1s
200 # - 4 = 4s
201 register "PchPmSlpSusMinAssert" = "3" # 1s
202 # PchPmSlpAMinAssert
203 # - 1 = 0ms
204 # - 2 = 4s
205 # - 3 = 98ms
206 # - 4 = 2s
207 register "PchPmSlpAMinAssert" = "3" # 98ms
208
209 # NOTE: Duration programmed in the below register should never be smaller than the
210 # stretch duration programmed in the following registers -
211 # - GEN_PMCON_A.SLP_S3_MIN_ASST_WDTH (PchPmSlpS3MinAssert)
212 # - GEN_PMCON_A.S4MAW (PchPmSlpS4MinAssert)
213 # - PM_CFG.SLP_A_MIN_ASST_WDTH (PchPmSlpAMinAssert)
214 # - PM_CFG.SLP_LAN_MIN_ASST_WDTH
215 register "PchPmPwrCycDur" = "1" # 1s
216
Srinidhi N Kaushik22d5b072020-03-06 10:47:17 -0800217 # HD Audio
218 register "PchHdaDspEnable" = "1"
219 register "PchHdaAudioLinkHdaEnable" = "0"
Duncan Laurie4dffa9c2020-05-10 11:20:20 -0700220 register "PchHdaAudioLinkDmicEnable[0]" = "0"
221 register "PchHdaAudioLinkDmicEnable[1]" = "0"
222 register "PchHdaAudioLinkSspEnable[0]" = "0"
223 register "PchHdaAudioLinkSspEnable[1]" = "0"
224 register "PchHdaAudioLinkSndwEnable[0]" = "0"
225 register "PchHdaAudioLinkSndwEnable[1]" = "0"
Srinidhi N Kaushik22d5b072020-03-06 10:47:17 -0800226
Brandon Breitenstein01ec7132020-03-06 10:51:30 -0800227 # TCSS USB3
Brandon Breitenstein40b53582020-12-21 14:57:50 -0800228 register "UsbTcPortEn" = "0x3"
Brandon Breitenstein01ec7132020-03-06 10:51:30 -0800229 register "TcssXhciEn" = "1"
Brandon Breitenstein1df3b702020-08-10 15:02:41 -0700230 register "TcssAuxOri" = "0"
Brandon Breitensteinb7911c82020-04-06 15:34:19 -0700231
Nick Vaccarof9781912020-01-28 18:43:28 -0800232 # DP port
233 register "DdiPortAConfig" = "1" # eDP
234 register "DdiPortBConfig" = "0"
235
236 register "DdiPortAHpd" = "1"
237 register "DdiPortBHpd" = "1"
238 register "DdiPortCHpd" = "0"
239 register "DdiPort1Hpd" = "1"
240 register "DdiPort2Hpd" = "1"
241 register "DdiPort3Hpd" = "0"
242 register "DdiPort4Hpd" = "0"
243
244 register "DdiPortADdc" = "0"
245 register "DdiPortBDdc" = "1"
246 register "DdiPortCDdc" = "0"
247 register "DdiPort1Ddc" = "0"
248 register "DdiPort2Ddc" = "0"
249 register "DdiPort3Ddc" = "0"
250 register "DdiPort4Ddc" = "0"
251
Nick Vaccarof9781912020-01-28 18:43:28 -0800252 # Enable S0ix
253 register "s0ix_enable" = "1"
254
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530255 # Enable DPTF
256 register "dptf_enable" = "1"
257
Shreesh Chhabbi3c6ad8d2021-02-04 13:16:24 -0800258 # Enable External Bypass
259 register "external_bypass" = "1"
260
261 # Enable External Clk Gate
262 register "external_clk_gated" = "1"
263
264 # Enable External Phy Gate
265 register "external_phy_gated" = "1"
266
Sumeet R Pawnikar1a621502020-07-20 15:44:59 +0530267 register "power_limits_config[POWER_LIMITS_U_2_CORE]" = "{
268 .tdp_pl1_override = 15,
269 .tdp_pl2_override = 38,
270 .tdp_pl4 = 71,
271 }"
Tim Wawrzynczak2dcca0f2020-06-16 10:50:47 -0600272 register "power_limits_config[POWER_LIMITS_U_4_CORE]" = "{
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530273 .tdp_pl1_override = 15,
274 .tdp_pl2_override = 60,
Tim Wawrzynczak2dcca0f2020-06-16 10:50:47 -0600275 .tdp_pl4 = 105,
276 }"
Sumeet R Pawnikar1a621502020-07-20 15:44:59 +0530277 register "power_limits_config[POWER_LIMITS_Y_2_CORE]" = "{
278 .tdp_pl1_override = 9,
279 .tdp_pl2_override = 35,
280 .tdp_pl4 = 66,
281 }"
282 register "power_limits_config[POWER_LIMITS_Y_4_CORE]" = "{
283 .tdp_pl1_override = 9,
284 .tdp_pl2_override = 40,
285 .tdp_pl4 = 83,
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530286 }"
287
288 register "Device4Enable" = "1"
289
Sumeet R Pawnikar9f9b97e2020-06-30 14:18:41 +0530290 register "tcc_offset" = "10" # TCC of 90
291
John Zhaoc8e30972020-09-21 13:20:57 -0700292 register "CnviBtAudioOffload" = "FORCE_ENABLE"
293
Nick Vaccarof9781912020-01-28 18:43:28 -0800294 # Intel Common SoC Config
295 #+-------------------+---------------------------+
296 #| Field | Value |
297 #+-------------------+---------------------------+
298 #| chipset_lockdown | CHIPSET_LOCKDOWN_COREBOOT |
299 #| GSPI0 | cr50 TPM. Early init is |
300 #| | required to set up a BAR |
301 #| | for TPM communication |
302 #| | before memory is up |
Alex Levin3bc41cf2020-03-06 10:54:10 -0800303 #| GSPI1 | Fingerprint MCU |
Nick Vaccarof9781912020-01-28 18:43:28 -0800304 #| I2C0 | Audio |
305 #| I2C1 | Touchscreen |
306 #| I2C2 | WLAN, SAR0 |
307 #| I2C3 | Camera, SAR1 |
308 #| I2C5 | Trackpad |
309 #+-------------------+---------------------------+
310 register "common_soc_config" = "{
311 .chipset_lockdown = CHIPSET_LOCKDOWN_COREBOOT,
312 .gspi[0] = {
313 .speed_mhz = 1,
314 .early_init = 1,
315 },
316 .i2c[0] = {
317 .speed = I2C_SPEED_FAST,
318 },
319 .i2c[1] = {
320 .speed = I2C_SPEED_FAST,
321 },
322 .i2c[2] = {
323 .speed = I2C_SPEED_FAST,
324 },
325 .i2c[3] = {
326 .speed = I2C_SPEED_FAST,
327 },
328 .i2c[5] = {
329 .speed = I2C_SPEED_FAST,
330 },
331 }"
332
Venkata Krishna Nimmagadda7368da32020-06-09 00:11:34 -0700333 register "ext_fivr_settings" = "{
334 .configure_ext_fivr = 1,
335 .v1p05_enable_bitmap = FIVR_ENABLE_ALL_SX,
336 .vnn_enable_bitmap = FIVR_ENABLE_ALL_SX,
337 .v1p05_supported_voltage_bitmap = FIVR_VOLTAGE_NORMAL |
338 FIVR_VOLTAGE_MIN_ACTIVE |
339 FIVR_VOLTAGE_MIN_RETENTION,
340 .vnn_supported_voltage_bitmap = FIVR_VOLTAGE_NORMAL |
341 FIVR_VOLTAGE_MIN_ACTIVE |
342 FIVR_VOLTAGE_MIN_RETENTION,
343 .v1p05_icc_max_ma = 500,
344 .vnn_sx_voltage_mv = 1250,
345 }"
346
Nick Vaccarof9781912020-01-28 18:43:28 -0800347 device domain 0 on
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700348 device ref igpu on end
349 device ref dptf on
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600350 # Default DPTF Policy for all Volteer boards if not overridden
351 chip drivers/intel/dptf
352 ## Active Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600353 register "policies.active" = "{
354 [0] = {.target = DPTF_CPU,
355 .thresholds = {TEMP_PCT(85, 90),
356 TEMP_PCT(80, 69),
357 TEMP_PCT(75, 56),
358 TEMP_PCT(70, 46),
359 TEMP_PCT(65, 36),}},
360 [1] = {.target = DPTF_TEMP_SENSOR_0,
361 .thresholds = {TEMP_PCT(50, 90),
362 TEMP_PCT(47, 69),
363 TEMP_PCT(45, 56),
364 TEMP_PCT(42, 46),
365 TEMP_PCT(39, 36),}},
366 [2] = {.target = DPTF_TEMP_SENSOR_1,
367 .thresholds = {TEMP_PCT(50, 90),
368 TEMP_PCT(47, 69),
369 TEMP_PCT(45, 56),
370 TEMP_PCT(42, 46),
371 TEMP_PCT(39, 36),}},
372 [3] = {.target = DPTF_TEMP_SENSOR_2,
373 .thresholds = {TEMP_PCT(50, 90),
374 TEMP_PCT(47, 69),
375 TEMP_PCT(45, 56),
376 TEMP_PCT(42, 46),
377 TEMP_PCT(39, 36),}},
378 [4] = {.target = DPTF_TEMP_SENSOR_3,
379 .thresholds = {TEMP_PCT(50, 90),
380 TEMP_PCT(47, 69),
381 TEMP_PCT(45, 56),
382 TEMP_PCT(42, 46),
383 TEMP_PCT(39, 36),}}}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600384
385 ## Passive Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600386 register "policies.passive" = "{
387 [0] = DPTF_PASSIVE(CPU, CPU, 95, 5000),
388 [1] = DPTF_PASSIVE(CPU, TEMP_SENSOR_1, 65, 6000),
389 [2] = DPTF_PASSIVE(CHARGER, TEMP_SENSOR_0, 65, 6000),
390 [3] = DPTF_PASSIVE(CPU, TEMP_SENSOR_2, 65, 6000),
391 [4] = DPTF_PASSIVE(CPU, TEMP_SENSOR_3, 65, 6000)}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600392
393 ## Critical Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600394 register "policies.critical" = "{
395 [0] = DPTF_CRITICAL(CPU, 105, SHUTDOWN),
396 [1] = DPTF_CRITICAL(TEMP_SENSOR_0, 75, SHUTDOWN),
397 [2] = DPTF_CRITICAL(TEMP_SENSOR_1, 75, SHUTDOWN),
398 [3] = DPTF_CRITICAL(TEMP_SENSOR_2, 75, SHUTDOWN),
399 [4] = DPTF_CRITICAL(TEMP_SENSOR_3, 75, SHUTDOWN)}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600400
401 ## Power Limits Control
Sumeet R Pawnikar88352c52020-10-08 21:15:42 +0530402 # 3-15W PL1 in 200mW increments, avg over 28-32s interval
403 # PL2 ranges from 15 to 60W, avg over 28-32s interval
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600404 register "controls.power_limits" = "{
405 .pl1 = {.min_power = 3000,
406 .max_power = 15000,
407 .time_window_min = 28 * MSECS_PER_SEC,
408 .time_window_max = 32 * MSECS_PER_SEC,
409 .granularity = 200,},
Sumeet R Pawnikara97fb7f2020-12-04 11:48:24 +0530410 .pl2 = {.min_power = 60000,
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600411 .max_power = 60000,
412 .time_window_min = 28 * MSECS_PER_SEC,
413 .time_window_max = 32 * MSECS_PER_SEC,
414 .granularity = 1000,}}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600415
416 ## Charger Performance Control (Control, mA)
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600417 register "controls.charger_perf" = "{
418 [0] = { 255, 1700 },
419 [1] = { 24, 1500 },
420 [2] = { 16, 1000 },
421 [3] = { 8, 500 }}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600422
423 ## Fan Performance Control (Percent, Speed, Noise, Power)
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600424 register "controls.fan_perf" = "{
425 [0] = { 90, 6700, 220, 2200, },
426 [1] = { 80, 5800, 180, 1800, },
427 [2] = { 70, 5000, 145, 1450, },
428 [3] = { 60, 4900, 115, 1150, },
429 [4] = { 50, 3838, 90, 900, },
430 [5] = { 40, 2904, 55, 550, },
431 [6] = { 30, 2337, 30, 300, },
432 [7] = { 20, 1608, 15, 150, },
433 [8] = { 10, 800, 10, 100, },
434 [9] = { 0, 0, 0, 50, }}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600435
436 # Fan options
437 register "options.fan.fine_grained_control" = "1"
438 register "options.fan.step_size" = "2"
439
440 device generic 0 on end
441 end
442 end # DPTF 0x9A03
Duncan Laurie2b3de782020-10-28 14:26:26 -0700443 # Volteer reference design does not have PCIe on Type-C port C0 so it should
444 # not have hotplug resources allocated. Marking the device hidden will ensure
445 # it is still enabled so it can participate in power management.
446 device ref tbt_pcie_rp0 hidden
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700447 probe DB_USB USB4_GEN2
448 probe DB_USB USB4_GEN3
449 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700450 device ref tbt_pcie_rp1 on
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700451 probe DB_USB USB4_GEN2
452 probe DB_USB USB4_GEN3
453 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700454 device ref tbt_dma0 on
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700455 probe DB_USB USB4_GEN2
456 probe DB_USB USB4_GEN3
Duncan Laurie5b6ec3e2020-08-28 19:50:09 +0000457 chip drivers/intel/usb4/retimer
458 register "power_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_HIGH(GPP_H10)"
459 device generic 0 on end
460 end
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700461 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700462 device ref gna on end
463 device ref north_xhci on end
464 device ref cnvi_bt on end
465 device ref south_xhci on end
466 device ref shared_ram on end
Furquan Shaikhedac4ef2020-10-09 08:50:14 -0700467 device ref cnvi_wifi on
468 chip drivers/wifi/generic
469 register "wake" = "GPE0_PME_B0"
470 device generic 0 on end
471 end
Srinidhi N Kaushikac7d6b42020-03-05 17:19:51 -0800472 end
Tim Wawrzynczakc8340d42020-12-09 09:40:23 -0700473 # MIPI camera devices are on I2C buses 2 and 3
474 device ref i2c2 on end
475 device ref i2c3 on end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700476 device ref heci1 on end
477 device ref sata on end
478 device ref pcie_rp1 on end
479 device ref pcie_rp7 on end
Duncan Laurie9d0fde32020-11-09 09:36:31 -0800480 device ref pcie_rp8 on
481 probe DB_SD SD_GL9755S
482 probe DB_SD SD_RTS5261
Duncan Laurie912d9ec2020-11-30 10:09:42 -0800483 probe DB_SD SD_RTS5227S
484 probe DB_SD SD_GL9750
485 probe DB_SD SD_OZ711LV2LN
Duncan Laurie9d0fde32020-11-09 09:36:31 -0800486 chip soc/intel/common/block/pcie/rtd3
487 register "enable_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_HIGH(GPP_D16)"
488 register "reset_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_LOW(GPP_H3)"
489 register "srcclk_pin" = "3"
490 device generic 0 on
491 probe DB_SD SD_GL9755S
Duncan Laurie912d9ec2020-11-30 10:09:42 -0800492 probe DB_SD SD_RTS5227S
493 probe DB_SD SD_GL9750
494 probe DB_SD SD_OZ711LV2LN
Duncan Laurie9d0fde32020-11-09 09:36:31 -0800495 end
496 end
497 chip soc/intel/common/block/pcie/rtd3
498 register "reset_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_LOW(GPP_H3)"
499 register "srcclk_pin" = "3"
500 register "is_external" = "1"
501 device generic 1 on
502 probe DB_SD SD_RTS5261
503 end
504 end
505 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700506 device ref pcie_rp9 on end
507 device ref pcie_rp11 on end
508 device ref uart0 on end
509 device ref gspi0 on
Nick Vaccarof9781912020-01-28 18:43:28 -0800510 chip drivers/spi/acpi
511 register "hid" = "ACPI_DT_NAMESPACE_HID"
512 register "compat_string" = ""google,cr50""
513 register "irq" = "ACPI_IRQ_EDGE_LOW(GPP_C21_IRQ)"
514 device spi 0 on end
515 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700516 end
517 device ref gspi1 on
Alex Levin3bc41cf2020-03-06 10:54:10 -0800518 chip drivers/spi/acpi
519 register "name" = ""CRFP""
520 register "hid" = "ACPI_DT_NAMESPACE_HID"
521 register "uid" = "1"
522 register "compat_string" = ""google,cros-ec-spi""
523 register "irq_gpio" = "ACPI_GPIO_IRQ_LEVEL_LOW_WAKE(GPP_C20)"
524 device spi 0 on end
525 end # FPMCU
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700526 end
527 device ref pch_espi on
Nick Vaccaro9a3486e2020-04-17 10:14:57 -0700528 chip ec/google/chromeec
529 device pnp 0c09.0 on end
530 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700531 end
Tim Wawrzynczak2f917e62020-12-09 10:11:06 -0700532 device ref hda on
533 probe AUDIO MAX98357_ALC5682I_I2S
534 probe AUDIO MAX98373_ALC5682I_I2S
535 probe AUDIO MAX98373_ALC5682_SNDW
536 probe AUDIO MAX98373_ALC5682I_I2S_UP4
537 probe AUDIO MAX98360_ALC5682I_I2S
538 end
Nick Vaccarof9781912020-01-28 18:43:28 -0800539 end
540end