blob: 20a38434397a27fd7fc0d2f4a23c7bc1c9fa6457 [file] [log] [blame]
Duncan Laurie9db8c252020-05-10 11:16:45 -07001fw_config
Nick Vaccaro2cc06002020-06-18 12:19:08 -07002 field DB_USB 0 3
3 option USB_ABSENT 0
4 option USB4_GEN2 1
5 option USB3_ACTIVE 2
6 option USB4_GEN3 3
7 option USB3_PASSIVE 4
8 option USB3_NO_A 5
Duncan Laurie5abf0402020-10-28 15:14:27 -07009 option USB3_NO_C 6
Duncan Laurie9db8c252020-05-10 11:16:45 -070010 end
11 field THERMAL 4 7 end
12 field AUDIO 8 10
13 option NONE 0
14 option MAX98357_ALC5682I_I2S 1
15 option MAX98373_ALC5682I_I2S 2
16 option MAX98373_ALC5682_SNDW 3
Frank Wu362bcee2020-08-19 09:56:43 +080017 option MAX98373_ALC5682I_I2S_UP4 4
Duncan Laurie9db8c252020-05-10 11:16:45 -070018 end
19 field TABLETMODE 11
Nick Vaccaro2cc06002020-06-18 12:19:08 -070020 option TABLETMODE_DISABLED 0
21 option TABLETMODE_ENABLED 1
Duncan Laurie9db8c252020-05-10 11:16:45 -070022 end
Nick Vaccaro2cc06002020-06-18 12:19:08 -070023 field DB_LTE 12 13
24 option LTE_ABSENT 0
25 option LTE_PRESENT 1
26 end
Duncan Laurie14efbb42020-09-08 20:35:06 +000027 field KB_BL 14
28 option KB_BL_ABSENT 0
29 option KB_BL_PRESENT 1
30 end
31 field NUMPAD 15
32 option NUMPAD_ABSENT 0
33 option NUMPAD_PRESENT 1
34 end
Nick Vaccaro2cc06002020-06-18 12:19:08 -070035 field DB_SD 16 19
36 option SD_ABSENT 0
37 option SD_GL9755S 1
38 option SD_RTS5261 2
Duncan Laurie9db8c252020-05-10 11:16:45 -070039 end
40end
41
Nick Vaccarof9781912020-01-28 18:43:28 -080042chip soc/intel/tigerlake
43
44 device cpu_cluster 0 on
45 device lapic 0 on end
46 end
47
48 # GPE configuration
49 # Note that GPE events called out in ASL code rely on this
50 # route. i.e. If this route changes then the affected GPE
51 # offset bits also need to be changed.
52 register "pmc_gpe0_dw0" = "GPP_C"
53 register "pmc_gpe0_dw1" = "GPP_D"
54 register "pmc_gpe0_dw2" = "GPP_E"
55
Jamie Ryu154625b2020-06-12 02:59:26 -070056 # Enable heci communication
57 register "HeciEnabled" = "1"
58
Nick Vaccarof9781912020-01-28 18:43:28 -080059 # FSP configuration
Shreesh Chhabbi37086872020-06-17 12:40:42 -070060 register "SaGv" = "SaGv_Enabled"
Nick Vaccarof9781912020-01-28 18:43:28 -080061 register "SmbusEnable" = "0"
62
63 register "usb2_ports[0]" = "USB2_PORT_MID(OC_SKIP)" # Type-A Port A0
64 register "usb2_ports[1]" = "USB2_PORT_MID(OC_SKIP)" # Type-A Port A1
65 register "usb2_ports[2]" = "USB2_PORT_MID(OC_SKIP)" # M.2 WWAN
66 register "usb2_ports[3]" = "USB2_PORT_MID(OC_SKIP)" # Type-A / Type-C Cl
67 register "usb2_ports[4]" = "USB2_PORT_MID(OC_SKIP)" # M.2 Camera
Nick Vaccarof9781912020-01-28 18:43:28 -080068 register "usb2_ports[8]" = "USB2_PORT_MID(OC_SKIP)" # Type-A / Type-C Co
69 register "usb2_ports[9]" = "USB2_PORT_MID(OC_SKIP)" # M.2 Bluetooth
70
71 register "usb3_ports[0]" = "USB3_PORT_DEFAULT(OC1)" # USB3/2 Type A port A0
72 register "usb3_ports[1]" = "USB3_PORT_DEFAULT(OC2)" # USB3/2 Type A port A1
73 register "usb3_ports[2]" = "USB3_PORT_DEFAULT(OC_SKIP)" # M.2 WWAN
74 register "usb3_ports[3]" = "USB3_PORT_DEFAULT(OC_SKIP)" # M.2 Camera
75
Nick Vaccarof9781912020-01-28 18:43:28 -080076 # EC host command ranges are in 0x800-0x8ff & 0x200-0x20f
77 register "gen1_dec" = "0x00fc0801"
78 register "gen2_dec" = "0x000c0201"
79 # EC memory map range is 0x900-0x9ff
80 register "gen3_dec" = "0x00fc0901"
81
82 # Enable NVMe PCIE 9 using clk 0
83 register "PcieRpEnable[8]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -070084 register "PcieRpLtrEnable[8]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -080085 register "PcieClkSrcUsage[0]" = "8"
86 register "PcieClkSrcClkReq[0]" = "0"
87
Venkata Krishna Nimmagaddac34bb382020-01-15 10:13:26 -080088 # Enable Optane PCIE 11 using clk 0
89 register "PcieRpEnable[10]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -070090 register "PcieRpLtrEnable[10]" = "1"
Shaunak Sahab27b0fd2020-09-22 23:09:24 -070091 register "HybridStorageMode" = "0"
Venkata Krishna Nimmagaddac34bb382020-01-15 10:13:26 -080092
Nick Vaccarof9781912020-01-28 18:43:28 -080093 # Enable SD Card PCIE 8 using clk 3
94 register "PcieRpEnable[7]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -070095 register "PcieRpLtrEnable[7]" = "1"
nick_xr_chenf446b812020-06-30 09:34:33 +080096 register "PcieRpHotPlug[7]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -080097 register "PcieClkSrcUsage[3]" = "7"
98 register "PcieClkSrcClkReq[3]" = "3"
99
100 # Enable WLAN PCIE 7 using clk 1
101 register "PcieRpEnable[6]" = "1"
Wonkyu Kime3bf8ba2020-04-07 23:34:12 -0700102 register "PcieRpLtrEnable[6]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800103 register "PcieClkSrcUsage[1]" = "6"
104 register "PcieClkSrcClkReq[1]" = "1"
105
Nick Vaccarof9781912020-01-28 18:43:28 -0800106 # Mark SRCCLKREQ pins as unused that are routed for a Non-Clkreq functionality
David Wu7d1a1372020-10-21 10:42:25 +0800107 register "PcieClkSrcUsage[2]" = "PCIE_CLK_NOTUSED"
108 register "PcieClkSrcUsage[4]" = "PCIE_CLK_NOTUSED"
109 register "PcieClkSrcUsage[5]" = "PCIE_CLK_NOTUSED"
110 register "PcieClkSrcUsage[6]" = "PCIE_CLK_NOTUSED"
Nick Vaccarof9781912020-01-28 18:43:28 -0800111
112 # Enable SATA
113 register "SataEnable" = "1"
114 register "SataMode" = "0"
115 register "SataSalpSupport" = "1"
116 register "SataPortsEnable[0]" = "0"
117 register "SataPortsEnable[1]" = "1"
118 register "SataPortsDevSlp[0]" = "0"
Wonkyu Kimb8bfe142020-04-21 17:07:57 -0700119 register "SataPortsDevSlp[1]" = "1"
Shaunak Saha60e6f6e2020-06-15 23:59:52 -0700120 register "SataPortsEnableDitoConfig[1]" = "1"
Nick Vaccarof9781912020-01-28 18:43:28 -0800121
122 register "SerialIoI2cMode" = "{
123 [PchSerialIoIndexI2C0] = PchSerialIoPci,
124 [PchSerialIoIndexI2C1] = PchSerialIoPci,
125 [PchSerialIoIndexI2C2] = PchSerialIoPci,
126 [PchSerialIoIndexI2C3] = PchSerialIoPci,
127 [PchSerialIoIndexI2C4] = PchSerialIoDisabled,
128 [PchSerialIoIndexI2C5] = PchSerialIoPci,
129 }"
130
131 register "SerialIoGSpiMode" = "{
132 [PchSerialIoIndexGSPI0] = PchSerialIoPci,
133 [PchSerialIoIndexGSPI1] = PchSerialIoPci,
134 [PchSerialIoIndexGSPI2] = PchSerialIoDisabled,
135 [PchSerialIoIndexGSPI3] = PchSerialIoDisabled,
136 }"
137
138 register "SerialIoGSpiCsMode" = "{
139 [PchSerialIoIndexGSPI0] = 1,
140 [PchSerialIoIndexGSPI1] = 1,
141 [PchSerialIoIndexGSPI2] = 0,
142 [PchSerialIoIndexGSPI3] = 0,
143 }"
144
145 register "SerialIoGSpiCsState" = "{
Caveh Jalali85e4c432020-09-12 03:05:48 -0700146 [PchSerialIoIndexGSPI0] = 1,
147 [PchSerialIoIndexGSPI1] = 1,
Nick Vaccarof9781912020-01-28 18:43:28 -0800148 [PchSerialIoIndexGSPI2] = 0,
149 [PchSerialIoIndexGSPI3] = 0,
150 }"
151
152 register "SerialIoUartMode" = "{
153 [PchSerialIoIndexUART0] = PchSerialIoPci,
154 [PchSerialIoIndexUART1] = PchSerialIoDisabled,
155 [PchSerialIoIndexUART2] = PchSerialIoDisabled,
156 }"
157
Jamie Ryu80535952020-08-18 19:10:43 -0700158 # Set the minimum assertion width
159 # PchPmSlpS3MinAssert:
160 # - 1: 60us
161 # - 2: 1ms
162 # - 3: 50ms
163 # - 4: 2s
164 register "PchPmSlpS3MinAssert" = "3" # 50ms
165 # PchPmSlpS4MinAssert:
166 # - 1 = 1s
167 # - 2 = 2s
168 # - 3 = 3s
169 # - 4 = 4s
170 register "PchPmSlpS4MinAssert" = "1" # 1s
171 # PchPmSlpSusMinAssert:
172 # - 1 = 0ms
173 # - 2 = 500ms
174 # - 3 = 1s
175 # - 4 = 4s
176 register "PchPmSlpSusMinAssert" = "3" # 1s
177 # PchPmSlpAMinAssert
178 # - 1 = 0ms
179 # - 2 = 4s
180 # - 3 = 98ms
181 # - 4 = 2s
182 register "PchPmSlpAMinAssert" = "3" # 98ms
183
184 # NOTE: Duration programmed in the below register should never be smaller than the
185 # stretch duration programmed in the following registers -
186 # - GEN_PMCON_A.SLP_S3_MIN_ASST_WDTH (PchPmSlpS3MinAssert)
187 # - GEN_PMCON_A.S4MAW (PchPmSlpS4MinAssert)
188 # - PM_CFG.SLP_A_MIN_ASST_WDTH (PchPmSlpAMinAssert)
189 # - PM_CFG.SLP_LAN_MIN_ASST_WDTH
190 register "PchPmPwrCycDur" = "1" # 1s
191
Srinidhi N Kaushik22d5b072020-03-06 10:47:17 -0800192 # HD Audio
193 register "PchHdaDspEnable" = "1"
194 register "PchHdaAudioLinkHdaEnable" = "0"
Duncan Laurie4dffa9c2020-05-10 11:20:20 -0700195 register "PchHdaAudioLinkDmicEnable[0]" = "0"
196 register "PchHdaAudioLinkDmicEnable[1]" = "0"
197 register "PchHdaAudioLinkSspEnable[0]" = "0"
198 register "PchHdaAudioLinkSspEnable[1]" = "0"
199 register "PchHdaAudioLinkSndwEnable[0]" = "0"
200 register "PchHdaAudioLinkSndwEnable[1]" = "0"
Srinidhi N Kaushik22d5b072020-03-06 10:47:17 -0800201
Brandon Breitenstein01ec7132020-03-06 10:51:30 -0800202 # TCSS USB3
203 register "TcssXhciEn" = "1"
Brandon Breitenstein1df3b702020-08-10 15:02:41 -0700204 register "TcssAuxOri" = "0"
205 register "IomTypeCPortPadCfg[0]" = "0x09000000"
206 register "IomTypeCPortPadCfg[1]" = "0x09000000"
Brandon Breitensteinc9a34512020-06-10 17:04:29 -0700207 register "IomTypeCPortPadCfg[2]" = "0x09000000"
208 register "IomTypeCPortPadCfg[3]" = "0x09000000"
209 register "IomTypeCPortPadCfg[4]" = "0x09000000"
210 register "IomTypeCPortPadCfg[5]" = "0x09000000"
211 register "IomTypeCPortPadCfg[6]" = "0x09000000"
212 register "IomTypeCPortPadCfg[7]" = "0x09000000"
Brandon Breitensteinb7911c82020-04-06 15:34:19 -0700213
Nick Vaccarof9781912020-01-28 18:43:28 -0800214 # DP port
215 register "DdiPortAConfig" = "1" # eDP
216 register "DdiPortBConfig" = "0"
217
218 register "DdiPortAHpd" = "1"
219 register "DdiPortBHpd" = "1"
220 register "DdiPortCHpd" = "0"
221 register "DdiPort1Hpd" = "1"
222 register "DdiPort2Hpd" = "1"
223 register "DdiPort3Hpd" = "0"
224 register "DdiPort4Hpd" = "0"
225
226 register "DdiPortADdc" = "0"
227 register "DdiPortBDdc" = "1"
228 register "DdiPortCDdc" = "0"
229 register "DdiPort1Ddc" = "0"
230 register "DdiPort2Ddc" = "0"
231 register "DdiPort3Ddc" = "0"
232 register "DdiPort4Ddc" = "0"
233
Nick Vaccarof9781912020-01-28 18:43:28 -0800234 # Enable S0ix
235 register "s0ix_enable" = "1"
236
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530237 # Enable DPTF
238 register "dptf_enable" = "1"
239
Sumeet R Pawnikar1a621502020-07-20 15:44:59 +0530240 register "power_limits_config[POWER_LIMITS_U_2_CORE]" = "{
241 .tdp_pl1_override = 15,
242 .tdp_pl2_override = 38,
243 .tdp_pl4 = 71,
244 }"
Tim Wawrzynczak2dcca0f2020-06-16 10:50:47 -0600245 register "power_limits_config[POWER_LIMITS_U_4_CORE]" = "{
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530246 .tdp_pl1_override = 15,
247 .tdp_pl2_override = 60,
Tim Wawrzynczak2dcca0f2020-06-16 10:50:47 -0600248 .tdp_pl4 = 105,
249 }"
Sumeet R Pawnikar1a621502020-07-20 15:44:59 +0530250 register "power_limits_config[POWER_LIMITS_Y_2_CORE]" = "{
251 .tdp_pl1_override = 9,
252 .tdp_pl2_override = 35,
253 .tdp_pl4 = 66,
254 }"
255 register "power_limits_config[POWER_LIMITS_Y_4_CORE]" = "{
256 .tdp_pl1_override = 9,
257 .tdp_pl2_override = 40,
258 .tdp_pl4 = 83,
Sumeet R Pawnikar7d6bc602020-05-08 19:22:07 +0530259 }"
260
261 register "Device4Enable" = "1"
262
Sumeet R Pawnikar9f9b97e2020-06-30 14:18:41 +0530263 register "tcc_offset" = "10" # TCC of 90
264
John Zhaoc8e30972020-09-21 13:20:57 -0700265 register "CnviBtAudioOffload" = "FORCE_ENABLE"
266
Nick Vaccarof9781912020-01-28 18:43:28 -0800267 # Intel Common SoC Config
268 #+-------------------+---------------------------+
269 #| Field | Value |
270 #+-------------------+---------------------------+
271 #| chipset_lockdown | CHIPSET_LOCKDOWN_COREBOOT |
272 #| GSPI0 | cr50 TPM. Early init is |
273 #| | required to set up a BAR |
274 #| | for TPM communication |
275 #| | before memory is up |
Alex Levin3bc41cf2020-03-06 10:54:10 -0800276 #| GSPI1 | Fingerprint MCU |
Nick Vaccarof9781912020-01-28 18:43:28 -0800277 #| I2C0 | Audio |
278 #| I2C1 | Touchscreen |
279 #| I2C2 | WLAN, SAR0 |
280 #| I2C3 | Camera, SAR1 |
281 #| I2C5 | Trackpad |
282 #+-------------------+---------------------------+
283 register "common_soc_config" = "{
284 .chipset_lockdown = CHIPSET_LOCKDOWN_COREBOOT,
285 .gspi[0] = {
286 .speed_mhz = 1,
287 .early_init = 1,
288 },
289 .i2c[0] = {
290 .speed = I2C_SPEED_FAST,
291 },
292 .i2c[1] = {
293 .speed = I2C_SPEED_FAST,
294 },
295 .i2c[2] = {
296 .speed = I2C_SPEED_FAST,
297 },
298 .i2c[3] = {
299 .speed = I2C_SPEED_FAST,
300 },
301 .i2c[5] = {
302 .speed = I2C_SPEED_FAST,
303 },
304 }"
305
Venkata Krishna Nimmagadda7368da32020-06-09 00:11:34 -0700306 register "ext_fivr_settings" = "{
307 .configure_ext_fivr = 1,
308 .v1p05_enable_bitmap = FIVR_ENABLE_ALL_SX,
309 .vnn_enable_bitmap = FIVR_ENABLE_ALL_SX,
310 .v1p05_supported_voltage_bitmap = FIVR_VOLTAGE_NORMAL |
311 FIVR_VOLTAGE_MIN_ACTIVE |
312 FIVR_VOLTAGE_MIN_RETENTION,
313 .vnn_supported_voltage_bitmap = FIVR_VOLTAGE_NORMAL |
314 FIVR_VOLTAGE_MIN_ACTIVE |
315 FIVR_VOLTAGE_MIN_RETENTION,
316 .v1p05_icc_max_ma = 500,
317 .vnn_sx_voltage_mv = 1250,
318 }"
319
Nick Vaccarof9781912020-01-28 18:43:28 -0800320 device domain 0 on
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700321 device ref igpu on end
322 device ref dptf on
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600323 # Default DPTF Policy for all Volteer boards if not overridden
324 chip drivers/intel/dptf
325 ## Active Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600326 register "policies.active" = "{
327 [0] = {.target = DPTF_CPU,
328 .thresholds = {TEMP_PCT(85, 90),
329 TEMP_PCT(80, 69),
330 TEMP_PCT(75, 56),
331 TEMP_PCT(70, 46),
332 TEMP_PCT(65, 36),}},
333 [1] = {.target = DPTF_TEMP_SENSOR_0,
334 .thresholds = {TEMP_PCT(50, 90),
335 TEMP_PCT(47, 69),
336 TEMP_PCT(45, 56),
337 TEMP_PCT(42, 46),
338 TEMP_PCT(39, 36),}},
339 [2] = {.target = DPTF_TEMP_SENSOR_1,
340 .thresholds = {TEMP_PCT(50, 90),
341 TEMP_PCT(47, 69),
342 TEMP_PCT(45, 56),
343 TEMP_PCT(42, 46),
344 TEMP_PCT(39, 36),}},
345 [3] = {.target = DPTF_TEMP_SENSOR_2,
346 .thresholds = {TEMP_PCT(50, 90),
347 TEMP_PCT(47, 69),
348 TEMP_PCT(45, 56),
349 TEMP_PCT(42, 46),
350 TEMP_PCT(39, 36),}},
351 [4] = {.target = DPTF_TEMP_SENSOR_3,
352 .thresholds = {TEMP_PCT(50, 90),
353 TEMP_PCT(47, 69),
354 TEMP_PCT(45, 56),
355 TEMP_PCT(42, 46),
356 TEMP_PCT(39, 36),}}}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600357
358 ## Passive Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600359 register "policies.passive" = "{
360 [0] = DPTF_PASSIVE(CPU, CPU, 95, 5000),
361 [1] = DPTF_PASSIVE(CPU, TEMP_SENSOR_1, 65, 6000),
362 [2] = DPTF_PASSIVE(CHARGER, TEMP_SENSOR_0, 65, 6000),
363 [3] = DPTF_PASSIVE(CPU, TEMP_SENSOR_2, 65, 6000),
364 [4] = DPTF_PASSIVE(CPU, TEMP_SENSOR_3, 65, 6000)}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600365
366 ## Critical Policy
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600367 register "policies.critical" = "{
368 [0] = DPTF_CRITICAL(CPU, 105, SHUTDOWN),
369 [1] = DPTF_CRITICAL(TEMP_SENSOR_0, 75, SHUTDOWN),
370 [2] = DPTF_CRITICAL(TEMP_SENSOR_1, 75, SHUTDOWN),
371 [3] = DPTF_CRITICAL(TEMP_SENSOR_2, 75, SHUTDOWN),
372 [4] = DPTF_CRITICAL(TEMP_SENSOR_3, 75, SHUTDOWN)}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600373
374 ## Power Limits Control
Sumeet R Pawnikar88352c52020-10-08 21:15:42 +0530375 # 3-15W PL1 in 200mW increments, avg over 28-32s interval
376 # PL2 ranges from 15 to 60W, avg over 28-32s interval
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600377 register "controls.power_limits" = "{
378 .pl1 = {.min_power = 3000,
379 .max_power = 15000,
380 .time_window_min = 28 * MSECS_PER_SEC,
381 .time_window_max = 32 * MSECS_PER_SEC,
382 .granularity = 200,},
383 .pl2 = {.min_power = 15000,
384 .max_power = 60000,
385 .time_window_min = 28 * MSECS_PER_SEC,
386 .time_window_max = 32 * MSECS_PER_SEC,
387 .granularity = 1000,}}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600388
389 ## Charger Performance Control (Control, mA)
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600390 register "controls.charger_perf" = "{
391 [0] = { 255, 1700 },
392 [1] = { 24, 1500 },
393 [2] = { 16, 1000 },
394 [3] = { 8, 500 }}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600395
396 ## Fan Performance Control (Percent, Speed, Noise, Power)
Tim Wawrzynczaka5cb5642020-09-08 13:14:09 -0600397 register "controls.fan_perf" = "{
398 [0] = { 90, 6700, 220, 2200, },
399 [1] = { 80, 5800, 180, 1800, },
400 [2] = { 70, 5000, 145, 1450, },
401 [3] = { 60, 4900, 115, 1150, },
402 [4] = { 50, 3838, 90, 900, },
403 [5] = { 40, 2904, 55, 550, },
404 [6] = { 30, 2337, 30, 300, },
405 [7] = { 20, 1608, 15, 150, },
406 [8] = { 10, 800, 10, 100, },
407 [9] = { 0, 0, 0, 50, }}"
Tim Wawrzynczak07ac2ec2020-05-29 15:58:19 -0600408
409 # Fan options
410 register "options.fan.fine_grained_control" = "1"
411 register "options.fan.step_size" = "2"
412
413 device generic 0 on end
414 end
415 end # DPTF 0x9A03
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700416 device ref tbt_pcie_rp0 on
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700417 probe DB_USB USB4_GEN2
418 probe DB_USB USB4_GEN3
419 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700420 device ref tbt_pcie_rp1 on
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700421 probe DB_USB USB4_GEN2
422 probe DB_USB USB4_GEN3
423 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700424 device ref tbt_dma0 on
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700425 probe DB_USB USB4_GEN2
426 probe DB_USB USB4_GEN3
Duncan Laurie5b6ec3e2020-08-28 19:50:09 +0000427 chip drivers/intel/usb4/retimer
428 register "power_gpio" = "ACPI_GPIO_OUTPUT_ACTIVE_HIGH(GPP_H10)"
429 device generic 0 on end
430 end
Brandon Breitenstein228d0e52020-07-23 14:40:14 -0700431 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700432 device ref gna on end
433 device ref north_xhci on end
434 device ref cnvi_bt on end
435 device ref south_xhci on end
436 device ref shared_ram on end
Furquan Shaikhedac4ef2020-10-09 08:50:14 -0700437 device ref cnvi_wifi on
438 chip drivers/wifi/generic
439 register "wake" = "GPE0_PME_B0"
440 device generic 0 on end
441 end
Srinidhi N Kaushikac7d6b42020-03-05 17:19:51 -0800442 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700443 device ref heci1 on end
444 device ref sata on end
445 device ref pcie_rp1 on end
446 device ref pcie_rp7 on end
447 device ref pcie_rp8 on end
448 device ref pcie_rp9 on end
449 device ref pcie_rp11 on end
450 device ref uart0 on end
451 device ref gspi0 on
Nick Vaccarof9781912020-01-28 18:43:28 -0800452 chip drivers/spi/acpi
453 register "hid" = "ACPI_DT_NAMESPACE_HID"
454 register "compat_string" = ""google,cr50""
455 register "irq" = "ACPI_IRQ_EDGE_LOW(GPP_C21_IRQ)"
456 device spi 0 on end
457 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700458 end
459 device ref gspi1 on
Alex Levin3bc41cf2020-03-06 10:54:10 -0800460 chip drivers/spi/acpi
461 register "name" = ""CRFP""
462 register "hid" = "ACPI_DT_NAMESPACE_HID"
463 register "uid" = "1"
464 register "compat_string" = ""google,cros-ec-spi""
465 register "irq_gpio" = "ACPI_GPIO_IRQ_LEVEL_LOW_WAKE(GPP_C20)"
466 device spi 0 on end
467 end # FPMCU
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700468 end
469 device ref pch_espi on
Nick Vaccaro9a3486e2020-04-17 10:14:57 -0700470 chip ec/google/chromeec
471 device pnp 0c09.0 on end
472 end
Duncan Laurieb0e169a2020-07-29 16:33:10 -0700473 end
474 device ref hda on end
Nick Vaccarof9781912020-01-28 18:43:28 -0800475 end
476end