blob: 383a761d60fb0068b46e55fdb52deff931eb719a [file] [log] [blame]
huang linc14b54d2016-03-02 18:38:40 +08001/*
2 * This file is part of the coreboot project.
3 *
4 * Copyright 2016 Rockchip Inc.
5 *
6 * This program is free software; you can redistribute it and/or modify
7 * it under the terms of the GNU General Public License as published by
8 * the Free Software Foundation; version 2 of the License.
9 *
10 * This program is distributed in the hope that it will be useful,
11 * but WITHOUT ANY WARRANTY; without even the implied warranty of
12 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 * GNU General Public License for more details.
huang linc14b54d2016-03-02 18:38:40 +080014 */
15
Lin Huanga1f82a32016-03-09 18:08:20 +080016#include <assert.h>
17#include <console/console.h>
18#include <delay.h>
19#include <soc/addressmap.h>
huang linc14b54d2016-03-02 18:38:40 +080020#include <soc/clock.h>
Lin Huangf5702e72016-03-19 22:45:19 +080021#include <soc/grf.h>
huang lin4f173742016-03-02 18:46:24 +080022#include <soc/i2c.h>
Lin Huanga1f82a32016-03-09 18:08:20 +080023#include <soc/soc.h>
24#include <stdint.h>
25#include <stdlib.h>
26#include <string.h>
27
28struct pll_div {
29 u32 refdiv;
30 u32 fbdiv;
31 u32 postdiv1;
32 u32 postdiv2;
33 u32 frac;
Lin Huange3d78b82016-06-28 11:10:54 +080034 u32 freq;
Lin Huanga1f82a32016-03-09 18:08:20 +080035};
36
37#define PLL_DIVISORS(hz, _refdiv, _postdiv1, _postdiv2) {\
38 .refdiv = _refdiv,\
39 .fbdiv = (u32)((u64)hz * _refdiv * _postdiv1 * _postdiv2 / OSC_HZ),\
Lin Huange3d78b82016-06-28 11:10:54 +080040 .postdiv1 = _postdiv1, .postdiv2 = _postdiv2, .freq = hz};\
Lin Huanga1f82a32016-03-09 18:08:20 +080041 _Static_assert(((u64)hz * _refdiv * _postdiv1 * _postdiv2 / OSC_HZ) *\
42 OSC_HZ / (_refdiv * _postdiv1 * _postdiv2) == hz,\
Julius Werner8e42bd1c2016-11-01 15:24:54 -070043 STRINGIFY(hz) " Hz cannot be hit with PLL "\
Lin Huanga1f82a32016-03-09 18:08:20 +080044 "divisors on line " STRINGIFY(__LINE__))
45
Julius Werner8e42bd1c2016-11-01 15:24:54 -070046static const struct pll_div gpll_init_cfg = PLL_DIVISORS(GPLL_HZ, 1, 4, 1);
47static const struct pll_div cpll_init_cfg = PLL_DIVISORS(CPLL_HZ, 1, 3, 1);
48static const struct pll_div ppll_init_cfg = PLL_DIVISORS(PPLL_HZ, 3, 2, 1);
Lin Huanga1f82a32016-03-09 18:08:20 +080049
Eric Gao61e6c442016-07-29 12:34:32 +080050static const struct pll_div apll_1512_cfg = PLL_DIVISORS(1512*MHz, 1, 1, 1);
51static const struct pll_div apll_600_cfg = PLL_DIVISORS(600*MHz, 1, 3, 1);
Lin Huanga1f82a32016-03-09 18:08:20 +080052
Lin Huang3d703bc2016-06-28 14:19:18 +080053static const struct pll_div *apll_cfgs[] = {
Eric Gao61e6c442016-07-29 12:34:32 +080054 [APLL_1512_MHZ] = &apll_1512_cfg,
Lin Huang3d703bc2016-06-28 14:19:18 +080055 [APLL_600_MHZ] = &apll_600_cfg,
Lin Huanga1f82a32016-03-09 18:08:20 +080056};
57
58enum {
59 /* PLL_CON0 */
60 PLL_FBDIV_MASK = 0xfff,
61 PLL_FBDIV_SHIFT = 0,
62
63 /* PLL_CON1 */
64 PLL_POSTDIV2_MASK = 0x7,
65 PLL_POSTDIV2_SHIFT = 12,
66 PLL_POSTDIV1_MASK = 0x7,
67 PLL_POSTDIV1_SHIFT = 8,
68 PLL_REFDIV_MASK = 0x3f,
69 PLL_REFDIV_SHIFT = 0,
70
71 /* PLL_CON2 */
72 PLL_LOCK_STATUS_MASK = 1,
73 PLL_LOCK_STATUS_SHIFT = 31,
74 PLL_FRACDIV_MASK = 0xffffff,
75 PLL_FRACDIV_SHIFT = 0,
76
77 /* PLL_CON3 */
78 PLL_MODE_MASK = 3,
79 PLL_MODE_SHIFT = 8,
80 PLL_MODE_SLOW = 0,
81 PLL_MODE_NORM,
82 PLL_MODE_DEEP,
83 PLL_DSMPD_MASK = 1,
84 PLL_DSMPD_SHIFT = 3,
85 PLL_INTEGER_MODE = 1,
86
87 /* PMUCRU_CLKSEL_CON0 */
88 PMU_PCLK_DIV_CON_MASK = 0x1f,
89 PMU_PCLK_DIV_CON_SHIFT = 0,
90
Shunqian Zheng347c83c2016-04-13 22:34:39 +080091 /* PMUCRU_CLKSEL_CON1 */
92 SPI3_PLL_SEL_MASK = 1,
93 SPI3_PLL_SEL_SHIFT = 7,
94 SPI3_PLL_SEL_24M = 0,
95 SPI3_PLL_SEL_PPLL = 1,
96 SPI3_DIV_CON_MASK = 0x7f,
97 SPI3_DIV_CON_SHIFT = 0x0,
98
huang lin4f173742016-03-02 18:46:24 +080099 /* PMUCRU_CLKSEL_CON2 */
100 I2C_DIV_CON_MASK = 0x7f,
101 I2C8_DIV_CON_SHIFT = 8,
102 I2C0_DIV_CON_SHIFT = 0,
103
104 /* PMUCRU_CLKSEL_CON3 */
105 I2C4_DIV_CON_SHIFT = 0,
106
Lin Huangbdd06de2016-06-28 15:21:20 +0800107 /* CLKSEL_CON0 / CLKSEL_CON2 */
108 ACLKM_CORE_DIV_CON_MASK = 0x1f,
109 ACLKM_CORE_DIV_CON_SHIFT = 8,
110 CLK_CORE_PLL_SEL_MASK = 3,
111 CLK_CORE_PLL_SEL_SHIFT = 6,
112 CLK_CORE_PLL_SEL_ALPLL = 0x0,
113 CLK_CORE_PLL_SEL_ABPLL = 0x1,
114 CLK_CORE_PLL_SEL_DPLL = 0x10,
115 CLK_CORE_PLL_SEL_GPLL = 0x11,
116 CLK_CORE_DIV_MASK = 0x1f,
117 CLK_CORE_DIV_SHIFT = 0,
Lin Huanga1f82a32016-03-09 18:08:20 +0800118
Lin Huangbdd06de2016-06-28 15:21:20 +0800119 /* CLKSEL_CON1 / CLKSEL_CON3 */
120 PCLK_DBG_DIV_MASK = 0x1f,
121 PCLK_DBG_DIV_SHIFT = 0x8,
122 ATCLK_CORE_DIV_MASK = 0x1f,
123 ATCLK_CORE_DIV_SHIFT = 0,
Lin Huanga1f82a32016-03-09 18:08:20 +0800124
125 /* CLKSEL_CON14 */
126 PCLK_PERIHP_DIV_CON_MASK = 0x7,
127 PCLK_PERIHP_DIV_CON_SHIFT = 12,
128 HCLK_PERIHP_DIV_CON_MASK = 3,
129 HCLK_PERIHP_DIV_CON_SHIFT = 8,
130 ACLK_PERIHP_PLL_SEL_MASK = 1,
131 ACLK_PERIHP_PLL_SEL_SHIFT = 7,
132 ACLK_PERIHP_PLL_SEL_CPLL = 0,
133 ACLK_PERIHP_PLL_SEL_GPLL = 1,
134 ACLK_PERIHP_DIV_CON_MASK = 0x1f,
135 ACLK_PERIHP_DIV_CON_SHIFT = 0,
136
Lin Huang2f7ed8d2016-04-08 18:56:20 +0800137 /* CLKSEL_CON21 */
138 ACLK_EMMC_PLL_SEL_MASK = 0x1,
139 ACLK_EMMC_PLL_SEL_SHIFT = 7,
140 ACLK_EMMC_PLL_SEL_GPLL = 0x1,
141 ACLK_EMMC_DIV_CON_MASK = 0x1f,
142 ACLK_EMMC_DIV_CON_SHIFT = 0,
143
144 /* CLKSEL_CON22 */
145 CLK_EMMC_PLL_MASK = 0x7,
146 CLK_EMMC_PLL_SHIFT = 8,
147 CLK_EMMC_PLL_SEL_GPLL = 0x1,
148 CLK_EMMC_DIV_CON_MASK = 0x7f,
149 CLK_EMMC_DIV_CON_SHIFT = 0,
150
Lin Huanga1f82a32016-03-09 18:08:20 +0800151 /* CLKSEL_CON23 */
152 PCLK_PERILP0_DIV_CON_MASK = 0x7,
153 PCLK_PERILP0_DIV_CON_SHIFT = 12,
154 HCLK_PERILP0_DIV_CON_MASK = 3,
155 HCLK_PERILP0_DIV_CON_SHIFT = 8,
156 ACLK_PERILP0_PLL_SEL_MASK = 1,
157 ACLK_PERILP0_PLL_SEL_SHIFT = 7,
158 ACLK_PERILP0_PLL_SEL_CPLL = 0,
159 ACLK_PERILP0_PLL_SEL_GPLL = 1,
160 ACLK_PERILP0_DIV_CON_MASK = 0x1f,
161 ACLK_PERILP0_DIV_CON_SHIFT = 0,
162
163 /* CLKSEL_CON25 */
164 PCLK_PERILP1_DIV_CON_MASK = 0x7,
165 PCLK_PERILP1_DIV_CON_SHIFT = 8,
166 HCLK_PERILP1_PLL_SEL_MASK = 1,
167 HCLK_PERILP1_PLL_SEL_SHIFT = 7,
168 HCLK_PERILP1_PLL_SEL_CPLL = 0,
169 HCLK_PERILP1_PLL_SEL_GPLL = 1,
170 HCLK_PERILP1_DIV_CON_MASK = 0x1f,
171 HCLK_PERILP1_DIV_CON_SHIFT = 0,
Shunqian Zhengce60d5a2016-04-21 23:53:08 +0800172
Lin Huangbf48fbb2016-03-23 19:24:53 +0800173 /* CLKSEL_CON26 */
174 CLK_SARADC_DIV_CON_MASK = 0xff,
175 CLK_SARADC_DIV_CON_SHIFT = 8,
176
Shunqian Zhengf4181ce2016-05-06 16:50:48 +0800177 /* CLKSEL_CON27 */
178 CLK_TSADC_SEL_X24M = 0x0,
179 CLK_TSADC_SEL_MASK = 1,
180 CLK_TSADC_SEL_SHIFT = 15,
181 CLK_TSADC_DIV_CON_MASK = 0x3ff,
182 CLK_TSADC_DIV_CON_SHIFT = 0,
183
Shunqian Zhengc7f32a52016-05-04 15:54:37 +0800184 /* CLKSEL_CON47 & CLKSEL_CON48 */
185 ACLK_VOP_PLL_SEL_MASK = 0x3,
186 ACLK_VOP_PLL_SEL_SHIFT = 6,
187 ACLK_VOP_PLL_SEL_CPLL = 0x1,
188 ACLK_VOP_DIV_CON_MASK = 0x1f,
189 ACLK_VOP_DIV_CON_SHIFT = 0,
190
191 /* CLKSEL_CON49 & CLKSEL_CON50 */
192 DCLK_VOP_DCLK_SEL_MASK = 1,
193 DCLK_VOP_DCLK_SEL_SHIFT = 11,
194 DCLK_VOP_DCLK_SEL_DIVOUT = 0,
195 DCLK_VOP_PLL_SEL_MASK = 3,
196 DCLK_VOP_PLL_SEL_SHIFT = 8,
197 DCLK_VOP_PLL_SEL_VPLL = 0,
198 DCLK_VOP_DIV_CON_MASK = 0xff,
199 DCLK_VOP_DIV_CON_SHIFT = 0,
200
Shunqian Zheng347c83c2016-04-13 22:34:39 +0800201 /* CLKSEL_CON58 */
202 CLK_SPI_PLL_SEL_MASK = 1,
203 CLK_SPI_PLL_SEL_CPLL = 0,
204 CLK_SPI_PLL_SEL_GPLL = 1,
205 CLK_SPI_PLL_DIV_CON_MASK = 0x7f,
206 CLK_SPI5_PLL_DIV_CON_SHIFT = 8,
207 CLK_SPI5_PLL_SEL_SHIFT = 15,
208
209 /* CLKSEL_CON59 */
210 CLK_SPI1_PLL_SEL_SHIFT = 15,
211 CLK_SPI1_PLL_DIV_CON_SHIFT = 8,
212 CLK_SPI0_PLL_SEL_SHIFT = 7,
213 CLK_SPI0_PLL_DIV_CON_SHIFT = 0,
214
215 /* CLKSEL_CON60 */
216 CLK_SPI4_PLL_SEL_SHIFT = 15,
217 CLK_SPI4_PLL_DIV_CON_SHIFT = 8,
218 CLK_SPI2_PLL_SEL_SHIFT = 7,
219 CLK_SPI2_PLL_DIV_CON_SHIFT = 0,
220
huang lin4f173742016-03-02 18:46:24 +0800221 /* CLKSEL_CON61 */
222 CLK_I2C_PLL_SEL_MASK = 1,
223 CLK_I2C_PLL_SEL_CPLL = 0,
224 CLK_I2C_PLL_SEL_GPLL = 1,
225 CLK_I2C5_PLL_SEL_SHIFT = 15,
226 CLK_I2C5_DIV_CON_SHIFT = 8,
227 CLK_I2C1_PLL_SEL_SHIFT = 7,
228 CLK_I2C1_DIV_CON_SHIFT = 0,
229
230 /* CLKSEL_CON62 */
231 CLK_I2C6_PLL_SEL_SHIFT = 15,
232 CLK_I2C6_DIV_CON_SHIFT = 8,
233 CLK_I2C2_PLL_SEL_SHIFT = 7,
234 CLK_I2C2_DIV_CON_SHIFT = 0,
235
236 /* CLKSEL_CON63 */
237 CLK_I2C7_PLL_SEL_SHIFT = 15,
238 CLK_I2C7_DIV_CON_SHIFT = 8,
239 CLK_I2C3_PLL_SEL_SHIFT = 7,
240 CLK_I2C3_DIV_CON_SHIFT = 0,
241
Shunqian Zhengce60d5a2016-04-21 23:53:08 +0800242 /* CRU_SOFTRST_CON4 */
243 RESETN_DDR0_REQ_MASK = 1,
244 RESETN_DDR0_REQ_SHIFT = 8,
245 RESETN_DDRPHY0_REQ_MASK = 1,
246 RESETN_DDRPHY0_REQ_SHIFT = 9,
247 RESETN_DDR1_REQ_MASK = 1,
248 RESETN_DDR1_REQ_SHIFT = 12,
249 RESETN_DDRPHY1_REQ_MASK = 1,
250 RESETN_DDRPHY1_REQ_SHIFT = 13,
Lin Huanga1f82a32016-03-09 18:08:20 +0800251};
252
253#define VCO_MAX_KHZ (3200 * (MHz / KHz))
254#define VCO_MIN_KHZ (800 * (MHz / KHz))
255#define OUTPUT_MAX_KHZ (3200 * (MHz / KHz))
256#define OUTPUT_MIN_KHZ (16 * (MHz / KHz))
257
258/* the div restrictions of pll in integer mode,
259 * these are defined in * CRU_*PLL_CON0 or PMUCRU_*PLL_CON0
260 */
261#define PLL_DIV_MIN 16
262#define PLL_DIV_MAX 3200
263
264/* How to calculate the PLL(from TRM V0.3 Part 1 Page 63):
265 * Formulas also embedded within the Fractional PLL Verilog model:
266 * If DSMPD = 1 (DSM is disabled, "integer mode")
267 * FOUTVCO = FREF / REFDIV * FBDIV
268 * FOUTPOSTDIV = FOUTVCO / POSTDIV1 / POSTDIV2
269 * Where:
270 * FOUTVCO = Fractional PLL non-divided output frequency
271 * FOUTPOSTDIV = Fractional PLL divided output frequency
272 * (output of second post divider)
273 * FREF = Fractional PLL input reference frequency, (the OSC_HZ 24MHz input)
274 * REFDIV = Fractional PLL input reference clock divider
275 * FBDIV = Integer value programmed into feedback divide
276 *
277 */
278static void rkclk_set_pll(u32 *pll_con, const struct pll_div *div)
279{
280 /* All 8 PLLs have same VCO and output frequency range restrictions. */
281 u32 vco_khz = OSC_HZ / 1000 * div->fbdiv / div->refdiv;
282 u32 output_khz = vco_khz / div->postdiv1 / div->postdiv2;
283
284 printk(BIOS_DEBUG, "PLL at %p: fbdiv=%d, refdiv=%d, postdiv1=%d, "
285 "postdiv2=%d, vco=%u khz, output=%u khz\n",
286 pll_con, div->fbdiv, div->refdiv, div->postdiv1,
287 div->postdiv2, vco_khz, output_khz);
288 assert(vco_khz >= VCO_MIN_KHZ && vco_khz <= VCO_MAX_KHZ &&
289 output_khz >= OUTPUT_MIN_KHZ && output_khz <= OUTPUT_MAX_KHZ &&
290 div->fbdiv >= PLL_DIV_MIN && div->fbdiv <= PLL_DIV_MAX);
291
292 /* When power on or changing PLL setting,
293 * we must force PLL into slow mode to ensure output stable clock.
294 */
295 write32(&pll_con[3], RK_CLRSETBITS(PLL_MODE_MASK << PLL_MODE_SHIFT,
296 PLL_MODE_SLOW << PLL_MODE_SHIFT));
297
298 /* use integer mode */
299 write32(&pll_con[3],
300 RK_CLRSETBITS(PLL_DSMPD_MASK << PLL_DSMPD_SHIFT,
301 PLL_INTEGER_MODE << PLL_DSMPD_SHIFT));
302
303 write32(&pll_con[0], RK_CLRSETBITS(PLL_FBDIV_MASK << PLL_FBDIV_SHIFT,
304 div->fbdiv << PLL_FBDIV_SHIFT));
305 write32(&pll_con[1],
306 RK_CLRSETBITS(PLL_POSTDIV2_MASK << PLL_POSTDIV2_SHIFT |
307 PLL_POSTDIV1_MASK << PLL_POSTDIV1_SHIFT |
308 PLL_REFDIV_MASK | PLL_REFDIV_SHIFT,
309 (div->postdiv2 << PLL_POSTDIV2_SHIFT) |
310 (div->postdiv1 << PLL_POSTDIV1_SHIFT) |
311 (div->refdiv << PLL_REFDIV_SHIFT)));
312
313 /* waiting for pll lock */
314 while (!(read32(&pll_con[2]) & (1 << PLL_LOCK_STATUS_SHIFT)))
315 udelay(1);
316
317 /* pll enter normal mode */
318 write32(&pll_con[3], RK_CLRSETBITS(PLL_MODE_MASK << PLL_MODE_SHIFT,
319 PLL_MODE_NORM << PLL_MODE_SHIFT));
320}
321
Shunqian Zhengc7f32a52016-05-04 15:54:37 +0800322static int pll_para_config(u32 freq_hz, struct pll_div *div)
323{
324 u32 ref_khz = OSC_HZ / KHz, refdiv, fbdiv = 0;
325 u32 postdiv1, postdiv2 = 1;
326 u32 fref_khz;
327 u32 diff_khz, best_diff_khz;
328 const u32 max_refdiv = 63, max_fbdiv = 3200, min_fbdiv = 16;
329 const u32 max_postdiv1 = 7, max_postdiv2 = 7;
330 u32 vco_khz;
331 u32 freq_khz = freq_hz / KHz;
332
333 if (!freq_hz) {
334 printk(BIOS_ERR, "%s: the frequency can't be 0 Hz\n", __func__);
335 return -1;
336 }
337
338 postdiv1 = div_round_up(VCO_MIN_KHZ, freq_khz);
339 if (postdiv1 > max_postdiv1) {
340 postdiv2 = div_round_up(postdiv1, max_postdiv1);
341 postdiv1 = div_round_up(postdiv1, postdiv2);
342 }
343
344 vco_khz = freq_khz * postdiv1 * postdiv2;
345
346 if (vco_khz < VCO_MIN_KHZ || vco_khz > VCO_MAX_KHZ ||
347 postdiv2 > max_postdiv2) {
348 printk(BIOS_ERR, "%s: Cannot find out a supported VCO"
349 " for Frequency (%uHz).\n", __func__, freq_hz);
350 return -1;
351 }
352
353 div->postdiv1 = postdiv1;
354 div->postdiv2 = postdiv2;
355
356 best_diff_khz = vco_khz;
357 for (refdiv = 1; refdiv < max_refdiv && best_diff_khz; refdiv++) {
358 fref_khz = ref_khz / refdiv;
359
360 fbdiv = vco_khz / fref_khz;
361 if ((fbdiv >= max_fbdiv) || (fbdiv <= min_fbdiv))
362 continue;
363 diff_khz = vco_khz - fbdiv * fref_khz;
364 if (fbdiv + 1 < max_fbdiv && diff_khz > fref_khz / 2) {
365 fbdiv++;
366 diff_khz = fref_khz - diff_khz;
367 }
368
369 if (diff_khz >= best_diff_khz)
370 continue;
371
372 best_diff_khz = diff_khz;
373 div->refdiv = refdiv;
374 div->fbdiv = fbdiv;
375 }
376
377 if (best_diff_khz > 4 * (MHz/KHz)) {
378 printk(BIOS_ERR, "%s: Failed to match output frequency %u, "
379 "difference is %u Hz,exceed 4MHZ\n", __func__, freq_hz,
380 best_diff_khz * KHz);
381 return -1;
382 }
383 return 0;
384}
385
Lin Huanga1f82a32016-03-09 18:08:20 +0800386void rkclk_init(void)
387{
388 u32 aclk_div;
389 u32 hclk_div;
390 u32 pclk_div;
391
392 /* some cru registers changed by bootrom, we'd better reset them to
393 * reset/default values described in TRM to avoid confusion in kernel.
394 * Please consider these threee lines as a fix of bootrom bug.
395 */
396 write32(&cru_ptr->clksel_con[12], 0xffff4101);
397 write32(&cru_ptr->clksel_con[19], 0xffff033f);
398 write32(&cru_ptr->clksel_con[56], 0x00030003);
399
400 /* configure pmu pll(ppll) */
401 rkclk_set_pll(&pmucru_ptr->ppll_con[0], &ppll_init_cfg);
402
403 /* configure pmu pclk */
404 pclk_div = PPLL_HZ / PMU_PCLK_HZ - 1;
Julius Werner8e42bd1c2016-11-01 15:24:54 -0700405 assert((unsigned int)(PPLL_HZ - (pclk_div + 1) * PMU_PCLK_HZ) <= pclk_div
406 && pclk_div <= 0x1f);
Lin Huanga1f82a32016-03-09 18:08:20 +0800407 write32(&pmucru_ptr->pmucru_clksel[0],
408 RK_CLRSETBITS(PMU_PCLK_DIV_CON_MASK << PMU_PCLK_DIV_CON_SHIFT,
409 pclk_div << PMU_PCLK_DIV_CON_SHIFT));
410
411 /* configure gpll cpll */
412 rkclk_set_pll(&cru_ptr->gpll_con[0], &gpll_init_cfg);
413 rkclk_set_pll(&cru_ptr->cpll_con[0], &cpll_init_cfg);
414
415 /* configure perihp aclk, hclk, pclk */
416 aclk_div = GPLL_HZ / PERIHP_ACLK_HZ - 1;
Julius Wernerb37c8c02016-09-06 14:09:16 -0700417 assert((aclk_div + 1) * PERIHP_ACLK_HZ == GPLL_HZ && aclk_div <= 0x1f);
Lin Huanga1f82a32016-03-09 18:08:20 +0800418
419 hclk_div = PERIHP_ACLK_HZ / PERIHP_HCLK_HZ - 1;
420 assert((hclk_div + 1) * PERIHP_HCLK_HZ ==
Julius Wernerb37c8c02016-09-06 14:09:16 -0700421 PERIHP_ACLK_HZ && (hclk_div <= 0x3));
Lin Huanga1f82a32016-03-09 18:08:20 +0800422
423 pclk_div = PERIHP_ACLK_HZ / PERIHP_PCLK_HZ - 1;
424 assert((pclk_div + 1) * PERIHP_PCLK_HZ ==
Julius Wernerb37c8c02016-09-06 14:09:16 -0700425 PERIHP_ACLK_HZ && (pclk_div <= 0x7));
Lin Huanga1f82a32016-03-09 18:08:20 +0800426
427 write32(&cru_ptr->clksel_con[14],
428 RK_CLRSETBITS(PCLK_PERIHP_DIV_CON_MASK <<
429 PCLK_PERIHP_DIV_CON_SHIFT |
430 HCLK_PERIHP_DIV_CON_MASK <<
431 HCLK_PERIHP_DIV_CON_SHIFT |
432 ACLK_PERIHP_PLL_SEL_MASK <<
433 ACLK_PERIHP_PLL_SEL_SHIFT |
434 ACLK_PERIHP_DIV_CON_MASK <<
435 ACLK_PERIHP_DIV_CON_SHIFT,
436 pclk_div << PCLK_PERIHP_DIV_CON_SHIFT |
437 hclk_div << HCLK_PERIHP_DIV_CON_SHIFT |
438 ACLK_PERIHP_PLL_SEL_GPLL <<
439 ACLK_PERIHP_PLL_SEL_SHIFT |
440 aclk_div << ACLK_PERIHP_DIV_CON_SHIFT));
441
442 /* configure perilp0 aclk, hclk, pclk */
443 aclk_div = GPLL_HZ / PERILP0_ACLK_HZ - 1;
Julius Wernerb37c8c02016-09-06 14:09:16 -0700444 assert((aclk_div + 1) * PERILP0_ACLK_HZ == GPLL_HZ && aclk_div <= 0x1f);
Lin Huanga1f82a32016-03-09 18:08:20 +0800445
446 hclk_div = PERILP0_ACLK_HZ / PERILP0_HCLK_HZ - 1;
447 assert((hclk_div + 1) * PERILP0_HCLK_HZ ==
Julius Wernerb37c8c02016-09-06 14:09:16 -0700448 PERILP0_ACLK_HZ && (hclk_div <= 0x3));
Lin Huanga1f82a32016-03-09 18:08:20 +0800449
450 pclk_div = PERILP0_ACLK_HZ / PERILP0_PCLK_HZ - 1;
451 assert((pclk_div + 1) * PERILP0_PCLK_HZ ==
Julius Wernerb37c8c02016-09-06 14:09:16 -0700452 PERILP0_ACLK_HZ && (pclk_div <= 0x7));
Lin Huanga1f82a32016-03-09 18:08:20 +0800453
454 write32(&cru_ptr->clksel_con[23],
455 RK_CLRSETBITS(PCLK_PERILP0_DIV_CON_MASK <<
456 PCLK_PERILP0_DIV_CON_SHIFT |
457 HCLK_PERILP0_DIV_CON_MASK <<
458 HCLK_PERILP0_DIV_CON_SHIFT |
459 ACLK_PERILP0_PLL_SEL_MASK <<
460 ACLK_PERILP0_PLL_SEL_SHIFT |
461 ACLK_PERILP0_DIV_CON_MASK <<
462 ACLK_PERILP0_DIV_CON_SHIFT,
463 pclk_div << PCLK_PERILP0_DIV_CON_SHIFT |
464 hclk_div << HCLK_PERILP0_DIV_CON_SHIFT |
465 ACLK_PERILP0_PLL_SEL_GPLL <<
466 ACLK_PERILP0_PLL_SEL_SHIFT |
467 aclk_div << ACLK_PERILP0_DIV_CON_SHIFT));
468
469 /* perilp1 hclk select gpll as source */
470 hclk_div = GPLL_HZ / PERILP1_HCLK_HZ - 1;
471 assert((hclk_div + 1) * PERILP1_HCLK_HZ ==
Julius Wernerb37c8c02016-09-06 14:09:16 -0700472 GPLL_HZ && (hclk_div <= 0x1f));
Lin Huanga1f82a32016-03-09 18:08:20 +0800473
Julius Wernerf7d519c2016-09-02 23:48:10 -0700474 pclk_div = PERILP1_HCLK_HZ / PERILP1_PCLK_HZ - 1;
475 assert((pclk_div + 1) * PERILP1_PCLK_HZ ==
Julius Wernerb37c8c02016-09-06 14:09:16 -0700476 PERILP1_HCLK_HZ && (pclk_div <= 0x7));
Lin Huanga1f82a32016-03-09 18:08:20 +0800477
478 write32(&cru_ptr->clksel_con[25],
479 RK_CLRSETBITS(PCLK_PERILP1_DIV_CON_MASK <<
480 PCLK_PERILP1_DIV_CON_SHIFT |
481 HCLK_PERILP1_DIV_CON_MASK <<
482 HCLK_PERILP1_DIV_CON_SHIFT |
483 HCLK_PERILP1_PLL_SEL_MASK <<
484 HCLK_PERILP1_PLL_SEL_SHIFT,
485 pclk_div << PCLK_PERILP1_DIV_CON_SHIFT |
486 hclk_div << HCLK_PERILP1_DIV_CON_SHIFT |
487 HCLK_PERILP1_PLL_SEL_GPLL <<
488 HCLK_PERILP1_PLL_SEL_SHIFT));
489}
490
Julius Werner7f965892016-08-29 15:07:58 -0700491void rkclk_configure_cpu(enum apll_frequencies freq, enum cpu_cluster cluster)
Lin Huanga1f82a32016-03-09 18:08:20 +0800492{
Julius Werner7f965892016-08-29 15:07:58 -0700493 u32 aclkm_div, atclk_div, pclk_dbg_div, apll_hz;
494 int con_base, parent;
495 u32 *pll_con;
Lin Huange3d78b82016-06-28 11:10:54 +0800496
Julius Werner7f965892016-08-29 15:07:58 -0700497 switch (cluster) {
498 case CPU_CLUSTER_LITTLE:
499 con_base = 0;
500 parent = CLK_CORE_PLL_SEL_ALPLL;
501 pll_con = &cru_ptr->apll_l_con[0];
502 break;
503 case CPU_CLUSTER_BIG:
504 default:
505 con_base = 2;
506 parent = CLK_CORE_PLL_SEL_ABPLL;
507 pll_con = &cru_ptr->apll_b_con[0];
508 break;
509 }
Lin Huanga1f82a32016-03-09 18:08:20 +0800510
Julius Werner7f965892016-08-29 15:07:58 -0700511 apll_hz = apll_cfgs[freq]->freq;
512 rkclk_set_pll(pll_con, apll_cfgs[freq]);
Lin Huanga1f82a32016-03-09 18:08:20 +0800513
Julius Werner7f965892016-08-29 15:07:58 -0700514 aclkm_div = div_round_up(apll_hz, ACLKM_CORE_HZ) - 1;
515 pclk_dbg_div = div_round_up(apll_hz, PCLK_DBG_HZ) - 1;
516 atclk_div = div_round_up(apll_hz, ATCLK_CORE_HZ) - 1;
Lin Huanga1f82a32016-03-09 18:08:20 +0800517
Lin Huangbdd06de2016-06-28 15:21:20 +0800518 write32(&cru_ptr->clksel_con[con_base],
519 RK_CLRSETBITS(ACLKM_CORE_DIV_CON_MASK <<
520 ACLKM_CORE_DIV_CON_SHIFT |
521 CLK_CORE_PLL_SEL_MASK << CLK_CORE_PLL_SEL_SHIFT |
522 CLK_CORE_DIV_MASK << CLK_CORE_DIV_SHIFT,
523 aclkm_div << ACLKM_CORE_DIV_CON_SHIFT |
524 parent << CLK_CORE_PLL_SEL_SHIFT |
525 0 << CLK_CORE_DIV_SHIFT));
Lin Huanga1f82a32016-03-09 18:08:20 +0800526
Lin Huangbdd06de2016-06-28 15:21:20 +0800527 write32(&cru_ptr->clksel_con[con_base + 1],
528 RK_CLRSETBITS(PCLK_DBG_DIV_MASK << PCLK_DBG_DIV_SHIFT |
529 ATCLK_CORE_DIV_MASK << ATCLK_CORE_DIV_SHIFT,
530 pclk_dbg_div << PCLK_DBG_DIV_SHIFT |
531 atclk_div << ATCLK_CORE_DIV_SHIFT));
Lin Huanga1f82a32016-03-09 18:08:20 +0800532}
Lin Huangf5702e72016-03-19 22:45:19 +0800533
Shunqian Zhengce60d5a2016-04-21 23:53:08 +0800534void rkclk_configure_ddr(unsigned int hz)
535{
536 struct pll_div dpll_cfg;
537
538 /* IC ECO bug, need to set this register */
539 write32(&rk3399_pmusgrf->ddr_rgn_con[16], 0xc000c000);
540
541 /* clk_ddrc == DPLL = 24MHz / refdiv * fbdiv / postdiv1 / postdiv2 */
542 switch (hz) {
543 case 200*MHz:
544 dpll_cfg = (struct pll_div)
545 {.refdiv = 1, .fbdiv = 50, .postdiv1 = 6, .postdiv2 = 1};
546 break;
547 case 300*MHz:
548 dpll_cfg = (struct pll_div)
549 {.refdiv = 2, .fbdiv = 100, .postdiv1 = 4, .postdiv2 = 1};
550 break;
551 case 666*MHz:
552 dpll_cfg = (struct pll_div)
553 {.refdiv = 2, .fbdiv = 111, .postdiv1 = 2, .postdiv2 = 1};
554 break;
555 case 800*MHz:
556 dpll_cfg = (struct pll_div)
557 {.refdiv = 1, .fbdiv = 100, .postdiv1 = 3, .postdiv2 = 1};
558 break;
Lin Huangba2b63a2016-07-25 10:06:09 +0800559 case 933*MHz:
Shunqian Zheng0d9839b2016-05-11 15:18:17 +0800560 dpll_cfg = (struct pll_div)
Derek Basehore8e1a9952016-10-27 13:51:49 -0700561 {.refdiv = 1, .fbdiv = 116, .postdiv1 = 3, .postdiv2 = 1};
Shunqian Zheng0d9839b2016-05-11 15:18:17 +0800562 break;
Shunqian Zhengce60d5a2016-04-21 23:53:08 +0800563 default:
564 die("Unsupported SDRAM frequency, add to clock.c!");
565 }
566 rkclk_set_pll(&cru_ptr->dpll_con[0], &dpll_cfg);
567}
568
Shunqian Zheng347c83c2016-04-13 22:34:39 +0800569#define SPI_CLK_REG_VALUE(bus, clk_div) \
570 RK_CLRSETBITS(CLK_SPI_PLL_SEL_MASK << \
571 CLK_SPI ##bus## _PLL_SEL_SHIFT | \
572 CLK_SPI_PLL_DIV_CON_MASK << \
573 CLK_SPI ##bus## _PLL_DIV_CON_SHIFT, \
574 CLK_SPI_PLL_SEL_GPLL << \
575 CLK_SPI ##bus## _PLL_SEL_SHIFT | \
576 (clk_div - 1) << \
577 CLK_SPI ##bus## _PLL_DIV_CON_SHIFT)
578
huang linc14b54d2016-03-02 18:38:40 +0800579void rkclk_configure_spi(unsigned int bus, unsigned int hz)
580{
Shunqian Zheng347c83c2016-04-13 22:34:39 +0800581 int src_clk_div;
582 int pll;
583
584 /* spi3 src clock from ppll, while spi0,1,2,4,5 src clock from gpll */
585 pll = (bus == 3) ? PPLL_HZ : GPLL_HZ;
586 src_clk_div = pll / hz;
Julius Wernerb37c8c02016-09-06 14:09:16 -0700587 assert((src_clk_div - 1 <= 127) && (src_clk_div * hz == pll));
Shunqian Zheng347c83c2016-04-13 22:34:39 +0800588
589 switch (bus) {
590 case 0:
591 write32(&cru_ptr->clksel_con[59],
592 SPI_CLK_REG_VALUE(0, src_clk_div));
593 break;
594 case 1:
595 write32(&cru_ptr->clksel_con[59],
596 SPI_CLK_REG_VALUE(1, src_clk_div));
597 break;
598 case 2:
599 write32(&cru_ptr->clksel_con[60],
600 SPI_CLK_REG_VALUE(2, src_clk_div));
601 break;
602 case 3:
603 write32(&pmucru_ptr->pmucru_clksel[1],
604 RK_CLRSETBITS(SPI3_PLL_SEL_MASK << SPI3_PLL_SEL_SHIFT |
605 SPI3_DIV_CON_MASK << SPI3_DIV_CON_SHIFT,
606 SPI3_PLL_SEL_PPLL << SPI3_PLL_SEL_SHIFT |
607 (src_clk_div - 1) << SPI3_DIV_CON_SHIFT));
608 break;
609 case 4:
610 write32(&cru_ptr->clksel_con[60],
611 SPI_CLK_REG_VALUE(4, src_clk_div));
612 break;
613 case 5:
614 write32(&cru_ptr->clksel_con[58],
615 SPI_CLK_REG_VALUE(5, src_clk_div));
616 break;
617 default:
618 printk(BIOS_ERR, "do not support this spi bus\n");
619 }
huang linc14b54d2016-03-02 18:38:40 +0800620}
huang lin4f173742016-03-02 18:46:24 +0800621
622#define I2C_CLK_REG_VALUE(bus, clk_div) \
623 RK_CLRSETBITS(I2C_DIV_CON_MASK << \
624 CLK_I2C ##bus## _DIV_CON_SHIFT | \
625 CLK_I2C_PLL_SEL_MASK << \
626 CLK_I2C ##bus## _PLL_SEL_SHIFT, \
627 (clk_div - 1) << \
628 CLK_I2C ##bus## _DIV_CON_SHIFT | \
629 CLK_I2C_PLL_SEL_GPLL << \
630 CLK_I2C ##bus## _PLL_SEL_SHIFT)
631#define PMU_I2C_CLK_REG_VALUE(bus, clk_div) \
632 RK_CLRSETBITS(I2C_DIV_CON_MASK << I2C ##bus## _DIV_CON_SHIFT, \
633 (clk_div - 1) << I2C ##bus## _DIV_CON_SHIFT)
634
Julius Werner8e42bd1c2016-11-01 15:24:54 -0700635uint32_t rkclk_i2c_clock_for_bus(unsigned int bus)
huang lin4f173742016-03-02 18:46:24 +0800636{
Julius Werner8e42bd1c2016-11-01 15:24:54 -0700637 int src_clk_div, pll, freq;
huang lin4f173742016-03-02 18:46:24 +0800638
Julius Werner8e42bd1c2016-11-01 15:24:54 -0700639 /* i2c0,4,8 src clock from ppll, i2c1,2,3,5,6,7 src clock from gpll */
640 if (bus == 0 || bus == 4 || bus == 8) {
641 pll = PPLL_HZ;
642 freq = 338*MHz;
643 } else {
644 pll = GPLL_HZ;
645 freq = 198*MHz;
646 }
647 src_clk_div = pll / freq;
648 assert((src_clk_div - 1 <= 127) && (src_clk_div * freq == pll));
huang lin4f173742016-03-02 18:46:24 +0800649
650 switch (bus) {
651 case 0:
652 write32(&pmucru_ptr->pmucru_clksel[2],
653 PMU_I2C_CLK_REG_VALUE(0, src_clk_div));
654 break;
655 case 1:
656 write32(&cru_ptr->clksel_con[61],
657 I2C_CLK_REG_VALUE(1, src_clk_div));
658 break;
659 case 2:
660 write32(&cru_ptr->clksel_con[62],
661 I2C_CLK_REG_VALUE(2, src_clk_div));
662 break;
663 case 3:
664 write32(&cru_ptr->clksel_con[63],
665 I2C_CLK_REG_VALUE(3, src_clk_div));
666 break;
667 case 4:
668 write32(&pmucru_ptr->pmucru_clksel[3],
669 PMU_I2C_CLK_REG_VALUE(4, src_clk_div));
670 break;
671 case 5:
672 write32(&cru_ptr->clksel_con[61],
673 I2C_CLK_REG_VALUE(5, src_clk_div));
674 break;
675 case 6:
676 write32(&cru_ptr->clksel_con[62],
677 I2C_CLK_REG_VALUE(6, src_clk_div));
678 break;
679 case 7:
680 write32(&cru_ptr->clksel_con[63],
681 I2C_CLK_REG_VALUE(7, src_clk_div));
682 break;
683 case 8:
684 write32(&pmucru_ptr->pmucru_clksel[2],
685 PMU_I2C_CLK_REG_VALUE(8, src_clk_div));
686 break;
687 default:
Julius Werner8e42bd1c2016-11-01 15:24:54 -0700688 die("unknown i2c bus\n");
huang lin4f173742016-03-02 18:46:24 +0800689 }
huang lin4f173742016-03-02 18:46:24 +0800690
691 return freq;
692}
Lin Huangbf48fbb2016-03-23 19:24:53 +0800693
Xing Zheng96fbc312016-05-19 11:39:20 +0800694static u32 clk_gcd(u32 a, u32 b)
695{
696 while (b != 0) {
697 int r = b;
698 b = a % b;
699 a = r;
700 }
701 return a;
702}
703
704void rkclk_configure_i2s(unsigned int hz)
705{
706 int n, d;
707 int v;
708
709 /**
710 * clk_i2s0_sel: divider ouput from fraction
711 * clk_i2s0_pll_sel source clock: cpll
712 * clk_i2s0_div_con: 1 (div+1)
713 */
714 write32(&cru_ptr->clksel_con[28],
715 RK_CLRSETBITS(3 << 8 | 1 << 7 | 0x7f << 0,
716 1 << 8 | 0 << 7 | 0 << 0));
717
718 /* make sure and enable i2s0 path gates */
719 write32(&cru_ptr->clkgate_con[8],
720 RK_CLRBITS(1 << 12 | 1 << 5 | 1 << 4 | 1 << 3));
721
722 /* set frac divider */
723 v = clk_gcd(CPLL_HZ, hz);
724 n = (CPLL_HZ / v) & (0xffff);
725 d = (hz / v) & (0xffff);
Julius Werner8e42bd1c2016-11-01 15:24:54 -0700726 assert(hz == (u64)CPLL_HZ * d / n);
Xing Zheng96fbc312016-05-19 11:39:20 +0800727 write32(&cru_ptr->clksel_con[96], d << 16 | n);
728
729 /**
730 * clk_i2sout_sel clk_i2s
731 * clk_i2s_ch_sel: clk_i2s0
732 */
733 write32(&cru_ptr->clksel_con[31],
734 RK_CLRSETBITS(1 << 2 | 3 << 0,
735 0 << 2 | 0 << 0));
736}
737
Lin Huangbf48fbb2016-03-23 19:24:53 +0800738void rkclk_configure_saradc(unsigned int hz)
739{
740 int src_clk_div;
741
742 /* saradc src clk from 24MHz */
743 src_clk_div = 24 * MHz / hz;
Julius Wernerb37c8c02016-09-06 14:09:16 -0700744 assert((src_clk_div - 1 <= 255) && (src_clk_div * hz == 24 * MHz));
Lin Huangbf48fbb2016-03-23 19:24:53 +0800745
746 write32(&cru_ptr->clksel_con[26],
747 RK_CLRSETBITS(CLK_SARADC_DIV_CON_MASK <<
748 CLK_SARADC_DIV_CON_SHIFT,
749 (src_clk_div - 1) << CLK_SARADC_DIV_CON_SHIFT));
750}
Shunqian Zhengc7f32a52016-05-04 15:54:37 +0800751
752void rkclk_configure_vop_aclk(u32 vop_id, u32 aclk_hz)
753{
754 u32 div;
755 void *reg_addr = vop_id ? &cru_ptr->clksel_con[48] :
756 &cru_ptr->clksel_con[47];
757
758 /* vop aclk source clk: cpll */
759 div = CPLL_HZ / aclk_hz;
Julius Wernerb37c8c02016-09-06 14:09:16 -0700760 assert((div - 1 <= 31) && (div * aclk_hz == CPLL_HZ));
Shunqian Zhengc7f32a52016-05-04 15:54:37 +0800761
762 write32(reg_addr, RK_CLRSETBITS(
763 ACLK_VOP_PLL_SEL_MASK << ACLK_VOP_PLL_SEL_SHIFT |
764 ACLK_VOP_DIV_CON_MASK << ACLK_VOP_DIV_CON_SHIFT,
765 ACLK_VOP_PLL_SEL_CPLL << ACLK_VOP_PLL_SEL_SHIFT |
766 (div - 1) << ACLK_VOP_DIV_CON_SHIFT));
767}
768
769int rkclk_configure_vop_dclk(u32 vop_id, u32 dclk_hz)
770{
771 struct pll_div vpll_config = {0};
772 void *reg_addr = vop_id ? &cru_ptr->clksel_con[50] :
773 &cru_ptr->clksel_con[49];
774
775 /* vop dclk source from vpll, and equals to vpll(means div == 1) */
776 if (pll_para_config(dclk_hz, &vpll_config))
777 return -1;
778
779 rkclk_set_pll(&cru_ptr->vpll_con[0], &vpll_config);
780
781 write32(reg_addr, RK_CLRSETBITS(
782 DCLK_VOP_DCLK_SEL_MASK << DCLK_VOP_DCLK_SEL_SHIFT |
783 DCLK_VOP_PLL_SEL_MASK << DCLK_VOP_PLL_SEL_SHIFT |
784 DCLK_VOP_DIV_CON_MASK << DCLK_VOP_DIV_CON_SHIFT,
785 DCLK_VOP_DCLK_SEL_DIVOUT << DCLK_VOP_DCLK_SEL_SHIFT |
786 DCLK_VOP_PLL_SEL_VPLL << DCLK_VOP_PLL_SEL_SHIFT |
787 (1 - 1) << DCLK_VOP_DIV_CON_SHIFT));
788
789 return 0;
790}
Shunqian Zhengf4181ce2016-05-06 16:50:48 +0800791
792void rkclk_configure_tsadc(unsigned int hz)
793{
794 int src_clk_div;
795
796 /* use 24M as src clock */
797 src_clk_div = OSC_HZ / hz;
Julius Wernerb37c8c02016-09-06 14:09:16 -0700798 assert((src_clk_div - 1 <= 1023) && (src_clk_div * hz == OSC_HZ));
Shunqian Zhengf4181ce2016-05-06 16:50:48 +0800799
800 write32(&cru_ptr->clksel_con[27], RK_CLRSETBITS(
801 CLK_TSADC_DIV_CON_MASK << CLK_TSADC_DIV_CON_SHIFT |
802 CLK_TSADC_SEL_MASK << CLK_TSADC_SEL_SHIFT,
803 src_clk_div << CLK_TSADC_DIV_CON_SHIFT |
804 CLK_TSADC_SEL_X24M << CLK_TSADC_SEL_SHIFT));
805}
Lin Huang2f7ed8d2016-04-08 18:56:20 +0800806
807void rkclk_configure_emmc(void)
808{
809 int src_clk_div;
Ziyuan Xuc53cf642016-09-18 10:49:52 +0800810 int aclk_emmc = 148500*KHz;
811 int clk_emmc = 148500*KHz;
Lin Huang2f7ed8d2016-04-08 18:56:20 +0800812
813 /* Select aclk_emmc source from GPLL */
814 src_clk_div = GPLL_HZ / aclk_emmc;
Julius Wernerb37c8c02016-09-06 14:09:16 -0700815 assert((src_clk_div - 1 <= 31) && (src_clk_div * aclk_emmc == GPLL_HZ));
Lin Huang2f7ed8d2016-04-08 18:56:20 +0800816
817 write32(&cru_ptr->clksel_con[21],
818 RK_CLRSETBITS(ACLK_EMMC_PLL_SEL_MASK <<
819 ACLK_EMMC_PLL_SEL_SHIFT |
820 ACLK_EMMC_DIV_CON_MASK << ACLK_EMMC_DIV_CON_SHIFT,
821 ACLK_EMMC_PLL_SEL_GPLL <<
822 ACLK_EMMC_PLL_SEL_SHIFT |
823 (src_clk_div - 1) << ACLK_EMMC_DIV_CON_SHIFT));
824
825 /* Select clk_emmc source from GPLL too */
826 src_clk_div = GPLL_HZ / clk_emmc;
Julius Wernerb37c8c02016-09-06 14:09:16 -0700827 assert((src_clk_div - 1 <= 127) && (src_clk_div * clk_emmc == GPLL_HZ));
Lin Huang2f7ed8d2016-04-08 18:56:20 +0800828
829 write32(&cru_ptr->clksel_con[22],
830 RK_CLRSETBITS(CLK_EMMC_PLL_MASK << CLK_EMMC_PLL_SHIFT |
831 CLK_EMMC_DIV_CON_MASK << CLK_EMMC_DIV_CON_SHIFT,
832 CLK_EMMC_PLL_SEL_GPLL << CLK_EMMC_PLL_SHIFT |
833 (src_clk_div - 1) << CLK_EMMC_DIV_CON_SHIFT));
834}
Julius Wernerb6bf1dd2016-08-24 19:38:05 -0700835
836int rkclk_was_watchdog_reset(void)
837{
838 /* Bits 5 and 4 are "second" and "first" global watchdog reset. */
839 return read32(&cru_ptr->glb_rst_st) & 0x30;
840}