blob: 46c7a3900698d39f2ab09c1a30f3308ccb8fdaa1 [file] [log] [blame]
huang linc14b54d2016-03-02 18:38:40 +08001/*
2 * This file is part of the coreboot project.
3 *
4 * Copyright 2016 Rockchip Inc.
5 *
6 * This program is free software; you can redistribute it and/or modify
7 * it under the terms of the GNU General Public License as published by
8 * the Free Software Foundation; version 2 of the License.
9 *
10 * This program is distributed in the hope that it will be useful,
11 * but WITHOUT ANY WARRANTY; without even the implied warranty of
12 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 * GNU General Public License for more details.
huang linc14b54d2016-03-02 18:38:40 +080014 */
15
Lin Huanga1f82a32016-03-09 18:08:20 +080016#include <assert.h>
17#include <console/console.h>
18#include <delay.h>
19#include <soc/addressmap.h>
huang linc14b54d2016-03-02 18:38:40 +080020#include <soc/clock.h>
Lin Huangf5702e72016-03-19 22:45:19 +080021#include <soc/grf.h>
huang lin4f173742016-03-02 18:46:24 +080022#include <soc/i2c.h>
Lin Huanga1f82a32016-03-09 18:08:20 +080023#include <soc/soc.h>
24#include <stdint.h>
25#include <stdlib.h>
26#include <string.h>
27
28struct pll_div {
29 u32 refdiv;
30 u32 fbdiv;
31 u32 postdiv1;
32 u32 postdiv2;
33 u32 frac;
34};
35
36#define PLL_DIVISORS(hz, _refdiv, _postdiv1, _postdiv2) {\
37 .refdiv = _refdiv,\
38 .fbdiv = (u32)((u64)hz * _refdiv * _postdiv1 * _postdiv2 / OSC_HZ),\
39 .postdiv1 = _postdiv1, .postdiv2 = _postdiv2};\
40 _Static_assert(((u64)hz * _refdiv * _postdiv1 * _postdiv2 / OSC_HZ) *\
41 OSC_HZ / (_refdiv * _postdiv1 * _postdiv2) == hz,\
42 #hz "Hz cannot be hit with PLL "\
43 "divisors on line " STRINGIFY(__LINE__))
44
45static const struct pll_div gpll_init_cfg = PLL_DIVISORS(GPLL_HZ, 2, 2, 1);
46static const struct pll_div cpll_init_cfg = PLL_DIVISORS(CPLL_HZ, 1, 2, 2);
47static const struct pll_div ppll_init_cfg = PLL_DIVISORS(PPLL_HZ, 2, 2, 1);
48
49static const struct pll_div apll_l_1600_cfg = PLL_DIVISORS(1600*MHz, 3, 1, 1);
50static const struct pll_div apll_l_600_cfg = PLL_DIVISORS(600*MHz, 1, 2, 1);
51
52static const struct pll_div *apll_l_cfgs[] = {
53 [APLL_L_1600_MHZ] = &apll_l_1600_cfg,
54 [APLL_L_600_MHZ] = &apll_l_600_cfg,
55};
56
57enum {
58 /* PLL_CON0 */
59 PLL_FBDIV_MASK = 0xfff,
60 PLL_FBDIV_SHIFT = 0,
61
62 /* PLL_CON1 */
63 PLL_POSTDIV2_MASK = 0x7,
64 PLL_POSTDIV2_SHIFT = 12,
65 PLL_POSTDIV1_MASK = 0x7,
66 PLL_POSTDIV1_SHIFT = 8,
67 PLL_REFDIV_MASK = 0x3f,
68 PLL_REFDIV_SHIFT = 0,
69
70 /* PLL_CON2 */
71 PLL_LOCK_STATUS_MASK = 1,
72 PLL_LOCK_STATUS_SHIFT = 31,
73 PLL_FRACDIV_MASK = 0xffffff,
74 PLL_FRACDIV_SHIFT = 0,
75
76 /* PLL_CON3 */
77 PLL_MODE_MASK = 3,
78 PLL_MODE_SHIFT = 8,
79 PLL_MODE_SLOW = 0,
80 PLL_MODE_NORM,
81 PLL_MODE_DEEP,
82 PLL_DSMPD_MASK = 1,
83 PLL_DSMPD_SHIFT = 3,
84 PLL_INTEGER_MODE = 1,
85
86 /* PMUCRU_CLKSEL_CON0 */
87 PMU_PCLK_DIV_CON_MASK = 0x1f,
88 PMU_PCLK_DIV_CON_SHIFT = 0,
89
Shunqian Zheng347c83c2016-04-13 22:34:39 +080090 /* PMUCRU_CLKSEL_CON1 */
91 SPI3_PLL_SEL_MASK = 1,
92 SPI3_PLL_SEL_SHIFT = 7,
93 SPI3_PLL_SEL_24M = 0,
94 SPI3_PLL_SEL_PPLL = 1,
95 SPI3_DIV_CON_MASK = 0x7f,
96 SPI3_DIV_CON_SHIFT = 0x0,
97
huang lin4f173742016-03-02 18:46:24 +080098 /* PMUCRU_CLKSEL_CON2 */
99 I2C_DIV_CON_MASK = 0x7f,
100 I2C8_DIV_CON_SHIFT = 8,
101 I2C0_DIV_CON_SHIFT = 0,
102
103 /* PMUCRU_CLKSEL_CON3 */
104 I2C4_DIV_CON_SHIFT = 0,
105
Lin Huanga1f82a32016-03-09 18:08:20 +0800106 /* CLKSEL_CON0 */
107 ACLKM_CORE_L_DIV_CON_MASK = 0x1f,
108 ACLKM_CORE_L_DIV_CON_SHIFT = 8,
109 CLK_CORE_L_PLL_SEL_MASK = 3,
110 CLK_CORE_L_PLL_SEL_SHIFT = 6,
111 CLK_CORE_L_PLL_SEL_ALPLL = 0x0,
112 CLK_CORE_L_PLL_SEL_ABPLL = 0x1,
113 CLK_CORE_L_PLL_SEL_DPLL = 0x10,
114 CLK_CORE_L_PLL_SEL_GPLL = 0x11,
115 CLK_CORE_L_DIV_MASK = 0x1f,
116 CLK_CORE_L_DIV_SHIFT = 0,
117
118 /* CLKSEL_CON1 */
119 PCLK_DBG_L_DIV_MASK = 0x1f,
120 PCLK_DBG_L_DIV_SHIFT = 0x8,
121 ATCLK_CORE_L_DIV_MASK = 0x1f,
122 ATCLK_CORE_L_DIV_SHIFT = 0,
123
124 /* CLKSEL_CON14 */
125 PCLK_PERIHP_DIV_CON_MASK = 0x7,
126 PCLK_PERIHP_DIV_CON_SHIFT = 12,
127 HCLK_PERIHP_DIV_CON_MASK = 3,
128 HCLK_PERIHP_DIV_CON_SHIFT = 8,
129 ACLK_PERIHP_PLL_SEL_MASK = 1,
130 ACLK_PERIHP_PLL_SEL_SHIFT = 7,
131 ACLK_PERIHP_PLL_SEL_CPLL = 0,
132 ACLK_PERIHP_PLL_SEL_GPLL = 1,
133 ACLK_PERIHP_DIV_CON_MASK = 0x1f,
134 ACLK_PERIHP_DIV_CON_SHIFT = 0,
135
136 /* CLKSEL_CON23 */
137 PCLK_PERILP0_DIV_CON_MASK = 0x7,
138 PCLK_PERILP0_DIV_CON_SHIFT = 12,
139 HCLK_PERILP0_DIV_CON_MASK = 3,
140 HCLK_PERILP0_DIV_CON_SHIFT = 8,
141 ACLK_PERILP0_PLL_SEL_MASK = 1,
142 ACLK_PERILP0_PLL_SEL_SHIFT = 7,
143 ACLK_PERILP0_PLL_SEL_CPLL = 0,
144 ACLK_PERILP0_PLL_SEL_GPLL = 1,
145 ACLK_PERILP0_DIV_CON_MASK = 0x1f,
146 ACLK_PERILP0_DIV_CON_SHIFT = 0,
147
148 /* CLKSEL_CON25 */
149 PCLK_PERILP1_DIV_CON_MASK = 0x7,
150 PCLK_PERILP1_DIV_CON_SHIFT = 8,
151 HCLK_PERILP1_PLL_SEL_MASK = 1,
152 HCLK_PERILP1_PLL_SEL_SHIFT = 7,
153 HCLK_PERILP1_PLL_SEL_CPLL = 0,
154 HCLK_PERILP1_PLL_SEL_GPLL = 1,
155 HCLK_PERILP1_DIV_CON_MASK = 0x1f,
156 HCLK_PERILP1_DIV_CON_SHIFT = 0,
Shunqian Zhengce60d5a2016-04-21 23:53:08 +0800157
Lin Huangbf48fbb2016-03-23 19:24:53 +0800158 /* CLKSEL_CON26 */
159 CLK_SARADC_DIV_CON_MASK = 0xff,
160 CLK_SARADC_DIV_CON_SHIFT = 8,
161
Shunqian Zhengc7f32a52016-05-04 15:54:37 +0800162 /* CLKSEL_CON47 & CLKSEL_CON48 */
163 ACLK_VOP_PLL_SEL_MASK = 0x3,
164 ACLK_VOP_PLL_SEL_SHIFT = 6,
165 ACLK_VOP_PLL_SEL_CPLL = 0x1,
166 ACLK_VOP_DIV_CON_MASK = 0x1f,
167 ACLK_VOP_DIV_CON_SHIFT = 0,
168
169 /* CLKSEL_CON49 & CLKSEL_CON50 */
170 DCLK_VOP_DCLK_SEL_MASK = 1,
171 DCLK_VOP_DCLK_SEL_SHIFT = 11,
172 DCLK_VOP_DCLK_SEL_DIVOUT = 0,
173 DCLK_VOP_PLL_SEL_MASK = 3,
174 DCLK_VOP_PLL_SEL_SHIFT = 8,
175 DCLK_VOP_PLL_SEL_VPLL = 0,
176 DCLK_VOP_DIV_CON_MASK = 0xff,
177 DCLK_VOP_DIV_CON_SHIFT = 0,
178
Shunqian Zheng347c83c2016-04-13 22:34:39 +0800179 /* CLKSEL_CON58 */
180 CLK_SPI_PLL_SEL_MASK = 1,
181 CLK_SPI_PLL_SEL_CPLL = 0,
182 CLK_SPI_PLL_SEL_GPLL = 1,
183 CLK_SPI_PLL_DIV_CON_MASK = 0x7f,
184 CLK_SPI5_PLL_DIV_CON_SHIFT = 8,
185 CLK_SPI5_PLL_SEL_SHIFT = 15,
186
187 /* CLKSEL_CON59 */
188 CLK_SPI1_PLL_SEL_SHIFT = 15,
189 CLK_SPI1_PLL_DIV_CON_SHIFT = 8,
190 CLK_SPI0_PLL_SEL_SHIFT = 7,
191 CLK_SPI0_PLL_DIV_CON_SHIFT = 0,
192
193 /* CLKSEL_CON60 */
194 CLK_SPI4_PLL_SEL_SHIFT = 15,
195 CLK_SPI4_PLL_DIV_CON_SHIFT = 8,
196 CLK_SPI2_PLL_SEL_SHIFT = 7,
197 CLK_SPI2_PLL_DIV_CON_SHIFT = 0,
198
huang lin4f173742016-03-02 18:46:24 +0800199 /* CLKSEL_CON61 */
200 CLK_I2C_PLL_SEL_MASK = 1,
201 CLK_I2C_PLL_SEL_CPLL = 0,
202 CLK_I2C_PLL_SEL_GPLL = 1,
203 CLK_I2C5_PLL_SEL_SHIFT = 15,
204 CLK_I2C5_DIV_CON_SHIFT = 8,
205 CLK_I2C1_PLL_SEL_SHIFT = 7,
206 CLK_I2C1_DIV_CON_SHIFT = 0,
207
208 /* CLKSEL_CON62 */
209 CLK_I2C6_PLL_SEL_SHIFT = 15,
210 CLK_I2C6_DIV_CON_SHIFT = 8,
211 CLK_I2C2_PLL_SEL_SHIFT = 7,
212 CLK_I2C2_DIV_CON_SHIFT = 0,
213
214 /* CLKSEL_CON63 */
215 CLK_I2C7_PLL_SEL_SHIFT = 15,
216 CLK_I2C7_DIV_CON_SHIFT = 8,
217 CLK_I2C3_PLL_SEL_SHIFT = 7,
218 CLK_I2C3_DIV_CON_SHIFT = 0,
219
Shunqian Zhengce60d5a2016-04-21 23:53:08 +0800220 /* CRU_SOFTRST_CON4 */
221 RESETN_DDR0_REQ_MASK = 1,
222 RESETN_DDR0_REQ_SHIFT = 8,
223 RESETN_DDRPHY0_REQ_MASK = 1,
224 RESETN_DDRPHY0_REQ_SHIFT = 9,
225 RESETN_DDR1_REQ_MASK = 1,
226 RESETN_DDR1_REQ_SHIFT = 12,
227 RESETN_DDRPHY1_REQ_MASK = 1,
228 RESETN_DDRPHY1_REQ_SHIFT = 13,
Lin Huanga1f82a32016-03-09 18:08:20 +0800229};
230
231#define VCO_MAX_KHZ (3200 * (MHz / KHz))
232#define VCO_MIN_KHZ (800 * (MHz / KHz))
233#define OUTPUT_MAX_KHZ (3200 * (MHz / KHz))
234#define OUTPUT_MIN_KHZ (16 * (MHz / KHz))
235
236/* the div restrictions of pll in integer mode,
237 * these are defined in * CRU_*PLL_CON0 or PMUCRU_*PLL_CON0
238 */
239#define PLL_DIV_MIN 16
240#define PLL_DIV_MAX 3200
241
242/* How to calculate the PLL(from TRM V0.3 Part 1 Page 63):
243 * Formulas also embedded within the Fractional PLL Verilog model:
244 * If DSMPD = 1 (DSM is disabled, "integer mode")
245 * FOUTVCO = FREF / REFDIV * FBDIV
246 * FOUTPOSTDIV = FOUTVCO / POSTDIV1 / POSTDIV2
247 * Where:
248 * FOUTVCO = Fractional PLL non-divided output frequency
249 * FOUTPOSTDIV = Fractional PLL divided output frequency
250 * (output of second post divider)
251 * FREF = Fractional PLL input reference frequency, (the OSC_HZ 24MHz input)
252 * REFDIV = Fractional PLL input reference clock divider
253 * FBDIV = Integer value programmed into feedback divide
254 *
255 */
256static void rkclk_set_pll(u32 *pll_con, const struct pll_div *div)
257{
258 /* All 8 PLLs have same VCO and output frequency range restrictions. */
259 u32 vco_khz = OSC_HZ / 1000 * div->fbdiv / div->refdiv;
260 u32 output_khz = vco_khz / div->postdiv1 / div->postdiv2;
261
262 printk(BIOS_DEBUG, "PLL at %p: fbdiv=%d, refdiv=%d, postdiv1=%d, "
263 "postdiv2=%d, vco=%u khz, output=%u khz\n",
264 pll_con, div->fbdiv, div->refdiv, div->postdiv1,
265 div->postdiv2, vco_khz, output_khz);
266 assert(vco_khz >= VCO_MIN_KHZ && vco_khz <= VCO_MAX_KHZ &&
267 output_khz >= OUTPUT_MIN_KHZ && output_khz <= OUTPUT_MAX_KHZ &&
268 div->fbdiv >= PLL_DIV_MIN && div->fbdiv <= PLL_DIV_MAX);
269
270 /* When power on or changing PLL setting,
271 * we must force PLL into slow mode to ensure output stable clock.
272 */
273 write32(&pll_con[3], RK_CLRSETBITS(PLL_MODE_MASK << PLL_MODE_SHIFT,
274 PLL_MODE_SLOW << PLL_MODE_SHIFT));
275
276 /* use integer mode */
277 write32(&pll_con[3],
278 RK_CLRSETBITS(PLL_DSMPD_MASK << PLL_DSMPD_SHIFT,
279 PLL_INTEGER_MODE << PLL_DSMPD_SHIFT));
280
281 write32(&pll_con[0], RK_CLRSETBITS(PLL_FBDIV_MASK << PLL_FBDIV_SHIFT,
282 div->fbdiv << PLL_FBDIV_SHIFT));
283 write32(&pll_con[1],
284 RK_CLRSETBITS(PLL_POSTDIV2_MASK << PLL_POSTDIV2_SHIFT |
285 PLL_POSTDIV1_MASK << PLL_POSTDIV1_SHIFT |
286 PLL_REFDIV_MASK | PLL_REFDIV_SHIFT,
287 (div->postdiv2 << PLL_POSTDIV2_SHIFT) |
288 (div->postdiv1 << PLL_POSTDIV1_SHIFT) |
289 (div->refdiv << PLL_REFDIV_SHIFT)));
290
291 /* waiting for pll lock */
292 while (!(read32(&pll_con[2]) & (1 << PLL_LOCK_STATUS_SHIFT)))
293 udelay(1);
294
295 /* pll enter normal mode */
296 write32(&pll_con[3], RK_CLRSETBITS(PLL_MODE_MASK << PLL_MODE_SHIFT,
297 PLL_MODE_NORM << PLL_MODE_SHIFT));
298}
299
Shunqian Zhengc7f32a52016-05-04 15:54:37 +0800300static int pll_para_config(u32 freq_hz, struct pll_div *div)
301{
302 u32 ref_khz = OSC_HZ / KHz, refdiv, fbdiv = 0;
303 u32 postdiv1, postdiv2 = 1;
304 u32 fref_khz;
305 u32 diff_khz, best_diff_khz;
306 const u32 max_refdiv = 63, max_fbdiv = 3200, min_fbdiv = 16;
307 const u32 max_postdiv1 = 7, max_postdiv2 = 7;
308 u32 vco_khz;
309 u32 freq_khz = freq_hz / KHz;
310
311 if (!freq_hz) {
312 printk(BIOS_ERR, "%s: the frequency can't be 0 Hz\n", __func__);
313 return -1;
314 }
315
316 postdiv1 = div_round_up(VCO_MIN_KHZ, freq_khz);
317 if (postdiv1 > max_postdiv1) {
318 postdiv2 = div_round_up(postdiv1, max_postdiv1);
319 postdiv1 = div_round_up(postdiv1, postdiv2);
320 }
321
322 vco_khz = freq_khz * postdiv1 * postdiv2;
323
324 if (vco_khz < VCO_MIN_KHZ || vco_khz > VCO_MAX_KHZ ||
325 postdiv2 > max_postdiv2) {
326 printk(BIOS_ERR, "%s: Cannot find out a supported VCO"
327 " for Frequency (%uHz).\n", __func__, freq_hz);
328 return -1;
329 }
330
331 div->postdiv1 = postdiv1;
332 div->postdiv2 = postdiv2;
333
334 best_diff_khz = vco_khz;
335 for (refdiv = 1; refdiv < max_refdiv && best_diff_khz; refdiv++) {
336 fref_khz = ref_khz / refdiv;
337
338 fbdiv = vco_khz / fref_khz;
339 if ((fbdiv >= max_fbdiv) || (fbdiv <= min_fbdiv))
340 continue;
341 diff_khz = vco_khz - fbdiv * fref_khz;
342 if (fbdiv + 1 < max_fbdiv && diff_khz > fref_khz / 2) {
343 fbdiv++;
344 diff_khz = fref_khz - diff_khz;
345 }
346
347 if (diff_khz >= best_diff_khz)
348 continue;
349
350 best_diff_khz = diff_khz;
351 div->refdiv = refdiv;
352 div->fbdiv = fbdiv;
353 }
354
355 if (best_diff_khz > 4 * (MHz/KHz)) {
356 printk(BIOS_ERR, "%s: Failed to match output frequency %u, "
357 "difference is %u Hz,exceed 4MHZ\n", __func__, freq_hz,
358 best_diff_khz * KHz);
359 return -1;
360 }
361 return 0;
362}
363
Lin Huanga1f82a32016-03-09 18:08:20 +0800364void rkclk_init(void)
365{
366 u32 aclk_div;
367 u32 hclk_div;
368 u32 pclk_div;
369
370 /* some cru registers changed by bootrom, we'd better reset them to
371 * reset/default values described in TRM to avoid confusion in kernel.
372 * Please consider these threee lines as a fix of bootrom bug.
373 */
374 write32(&cru_ptr->clksel_con[12], 0xffff4101);
375 write32(&cru_ptr->clksel_con[19], 0xffff033f);
376 write32(&cru_ptr->clksel_con[56], 0x00030003);
377
378 /* configure pmu pll(ppll) */
379 rkclk_set_pll(&pmucru_ptr->ppll_con[0], &ppll_init_cfg);
380
381 /* configure pmu pclk */
382 pclk_div = PPLL_HZ / PMU_PCLK_HZ - 1;
383 assert((pclk_div + 1) * PMU_PCLK_HZ == PPLL_HZ && pclk_div < 0x1f);
384 write32(&pmucru_ptr->pmucru_clksel[0],
385 RK_CLRSETBITS(PMU_PCLK_DIV_CON_MASK << PMU_PCLK_DIV_CON_SHIFT,
386 pclk_div << PMU_PCLK_DIV_CON_SHIFT));
387
388 /* configure gpll cpll */
389 rkclk_set_pll(&cru_ptr->gpll_con[0], &gpll_init_cfg);
390 rkclk_set_pll(&cru_ptr->cpll_con[0], &cpll_init_cfg);
391
392 /* configure perihp aclk, hclk, pclk */
393 aclk_div = GPLL_HZ / PERIHP_ACLK_HZ - 1;
394 assert((aclk_div + 1) * PERIHP_ACLK_HZ == GPLL_HZ && aclk_div < 0x1f);
395
396 hclk_div = PERIHP_ACLK_HZ / PERIHP_HCLK_HZ - 1;
397 assert((hclk_div + 1) * PERIHP_HCLK_HZ ==
398 PERIHP_ACLK_HZ && (hclk_div < 0x4));
399
400 pclk_div = PERIHP_ACLK_HZ / PERIHP_PCLK_HZ - 1;
401 assert((pclk_div + 1) * PERIHP_PCLK_HZ ==
402 PERIHP_ACLK_HZ && (pclk_div < 0x7));
403
404 write32(&cru_ptr->clksel_con[14],
405 RK_CLRSETBITS(PCLK_PERIHP_DIV_CON_MASK <<
406 PCLK_PERIHP_DIV_CON_SHIFT |
407 HCLK_PERIHP_DIV_CON_MASK <<
408 HCLK_PERIHP_DIV_CON_SHIFT |
409 ACLK_PERIHP_PLL_SEL_MASK <<
410 ACLK_PERIHP_PLL_SEL_SHIFT |
411 ACLK_PERIHP_DIV_CON_MASK <<
412 ACLK_PERIHP_DIV_CON_SHIFT,
413 pclk_div << PCLK_PERIHP_DIV_CON_SHIFT |
414 hclk_div << HCLK_PERIHP_DIV_CON_SHIFT |
415 ACLK_PERIHP_PLL_SEL_GPLL <<
416 ACLK_PERIHP_PLL_SEL_SHIFT |
417 aclk_div << ACLK_PERIHP_DIV_CON_SHIFT));
418
419 /* configure perilp0 aclk, hclk, pclk */
420 aclk_div = GPLL_HZ / PERILP0_ACLK_HZ - 1;
421 assert((aclk_div + 1) * PERILP0_ACLK_HZ == GPLL_HZ && aclk_div < 0x1f);
422
423 hclk_div = PERILP0_ACLK_HZ / PERILP0_HCLK_HZ - 1;
424 assert((hclk_div + 1) * PERILP0_HCLK_HZ ==
425 PERILP0_ACLK_HZ && (hclk_div < 0x4));
426
427 pclk_div = PERILP0_ACLK_HZ / PERILP0_PCLK_HZ - 1;
428 assert((pclk_div + 1) * PERILP0_PCLK_HZ ==
429 PERILP0_ACLK_HZ && (pclk_div < 0x7));
430
431 write32(&cru_ptr->clksel_con[23],
432 RK_CLRSETBITS(PCLK_PERILP0_DIV_CON_MASK <<
433 PCLK_PERILP0_DIV_CON_SHIFT |
434 HCLK_PERILP0_DIV_CON_MASK <<
435 HCLK_PERILP0_DIV_CON_SHIFT |
436 ACLK_PERILP0_PLL_SEL_MASK <<
437 ACLK_PERILP0_PLL_SEL_SHIFT |
438 ACLK_PERILP0_DIV_CON_MASK <<
439 ACLK_PERILP0_DIV_CON_SHIFT,
440 pclk_div << PCLK_PERILP0_DIV_CON_SHIFT |
441 hclk_div << HCLK_PERILP0_DIV_CON_SHIFT |
442 ACLK_PERILP0_PLL_SEL_GPLL <<
443 ACLK_PERILP0_PLL_SEL_SHIFT |
444 aclk_div << ACLK_PERILP0_DIV_CON_SHIFT));
445
446 /* perilp1 hclk select gpll as source */
447 hclk_div = GPLL_HZ / PERILP1_HCLK_HZ - 1;
448 assert((hclk_div + 1) * PERILP1_HCLK_HZ ==
449 GPLL_HZ && (hclk_div < 0x1f));
450
451 pclk_div = PERILP1_HCLK_HZ / PERILP1_HCLK_HZ - 1;
452 assert((pclk_div + 1) * PERILP1_HCLK_HZ ==
453 PERILP1_HCLK_HZ && (hclk_div < 0x7));
454
455 write32(&cru_ptr->clksel_con[25],
456 RK_CLRSETBITS(PCLK_PERILP1_DIV_CON_MASK <<
457 PCLK_PERILP1_DIV_CON_SHIFT |
458 HCLK_PERILP1_DIV_CON_MASK <<
459 HCLK_PERILP1_DIV_CON_SHIFT |
460 HCLK_PERILP1_PLL_SEL_MASK <<
461 HCLK_PERILP1_PLL_SEL_SHIFT,
462 pclk_div << PCLK_PERILP1_DIV_CON_SHIFT |
463 hclk_div << HCLK_PERILP1_DIV_CON_SHIFT |
464 HCLK_PERILP1_PLL_SEL_GPLL <<
465 HCLK_PERILP1_PLL_SEL_SHIFT));
466}
467
468void rkclk_configure_cpu(enum apll_l_frequencies apll_l_freq)
469{
470 u32 aclkm_div;
471 u32 pclk_dbg_div;
472 u32 atclk_div;
473
474 rkclk_set_pll(&cru_ptr->apll_l_con[0], apll_l_cfgs[apll_l_freq]);
475
476 aclkm_div = APLL_HZ / ACLKM_CORE_HZ - 1;
477 assert((aclkm_div + 1) * ACLKM_CORE_HZ == APLL_HZ &&
478 aclkm_div < 0x1f);
479
480 pclk_dbg_div = APLL_HZ / PCLK_DBG_HZ - 1;
481 assert((pclk_dbg_div + 1) * PCLK_DBG_HZ == APLL_HZ &&
482 pclk_dbg_div < 0x1f);
483
484 atclk_div = APLL_HZ / ATCLK_CORE_HZ - 1;
485 assert((atclk_div + 1) * ATCLK_CORE_HZ == APLL_HZ &&
486 atclk_div < 0x1f);
487
488 write32(&cru_ptr->clksel_con[0],
489 RK_CLRSETBITS(ACLKM_CORE_L_DIV_CON_MASK <<
490 ACLKM_CORE_L_DIV_CON_SHIFT |
491 CLK_CORE_L_PLL_SEL_MASK <<
492 CLK_CORE_L_PLL_SEL_SHIFT |
493 CLK_CORE_L_DIV_MASK << CLK_CORE_L_DIV_SHIFT,
494 aclkm_div << ACLKM_CORE_L_DIV_CON_SHIFT |
495 CLK_CORE_L_PLL_SEL_ALPLL <<
496 CLK_CORE_L_PLL_SEL_SHIFT |
497 0 << CLK_CORE_L_DIV_SHIFT));
498
499 write32(&cru_ptr->clksel_con[1],
500 RK_CLRSETBITS(PCLK_DBG_L_DIV_MASK << PCLK_DBG_L_DIV_SHIFT |
501 ATCLK_CORE_L_DIV_MASK << ATCLK_CORE_L_DIV_SHIFT,
502 pclk_dbg_div << PCLK_DBG_L_DIV_SHIFT |
503 atclk_div << ATCLK_CORE_L_DIV_SHIFT));
504}
Lin Huangf5702e72016-03-19 22:45:19 +0800505
Shunqian Zhengce60d5a2016-04-21 23:53:08 +0800506void rkclk_configure_ddr(unsigned int hz)
507{
508 struct pll_div dpll_cfg;
509
510 /* IC ECO bug, need to set this register */
511 write32(&rk3399_pmusgrf->ddr_rgn_con[16], 0xc000c000);
512
513 /* clk_ddrc == DPLL = 24MHz / refdiv * fbdiv / postdiv1 / postdiv2 */
514 switch (hz) {
515 case 200*MHz:
516 dpll_cfg = (struct pll_div)
517 {.refdiv = 1, .fbdiv = 50, .postdiv1 = 6, .postdiv2 = 1};
518 break;
519 case 300*MHz:
520 dpll_cfg = (struct pll_div)
521 {.refdiv = 2, .fbdiv = 100, .postdiv1 = 4, .postdiv2 = 1};
522 break;
523 case 666*MHz:
524 dpll_cfg = (struct pll_div)
525 {.refdiv = 2, .fbdiv = 111, .postdiv1 = 2, .postdiv2 = 1};
526 break;
527 case 800*MHz:
528 dpll_cfg = (struct pll_div)
529 {.refdiv = 1, .fbdiv = 100, .postdiv1 = 3, .postdiv2 = 1};
530 break;
531 default:
532 die("Unsupported SDRAM frequency, add to clock.c!");
533 }
534 rkclk_set_pll(&cru_ptr->dpll_con[0], &dpll_cfg);
535}
536
Shunqian Zheng347c83c2016-04-13 22:34:39 +0800537#define SPI_CLK_REG_VALUE(bus, clk_div) \
538 RK_CLRSETBITS(CLK_SPI_PLL_SEL_MASK << \
539 CLK_SPI ##bus## _PLL_SEL_SHIFT | \
540 CLK_SPI_PLL_DIV_CON_MASK << \
541 CLK_SPI ##bus## _PLL_DIV_CON_SHIFT, \
542 CLK_SPI_PLL_SEL_GPLL << \
543 CLK_SPI ##bus## _PLL_SEL_SHIFT | \
544 (clk_div - 1) << \
545 CLK_SPI ##bus## _PLL_DIV_CON_SHIFT)
546
huang linc14b54d2016-03-02 18:38:40 +0800547void rkclk_configure_spi(unsigned int bus, unsigned int hz)
548{
Shunqian Zheng347c83c2016-04-13 22:34:39 +0800549 int src_clk_div;
550 int pll;
551
552 /* spi3 src clock from ppll, while spi0,1,2,4,5 src clock from gpll */
553 pll = (bus == 3) ? PPLL_HZ : GPLL_HZ;
554 src_clk_div = pll / hz;
555 assert((src_clk_div - 1 < 127) && (src_clk_div * hz == pll));
556
557 switch (bus) {
558 case 0:
559 write32(&cru_ptr->clksel_con[59],
560 SPI_CLK_REG_VALUE(0, src_clk_div));
561 break;
562 case 1:
563 write32(&cru_ptr->clksel_con[59],
564 SPI_CLK_REG_VALUE(1, src_clk_div));
565 break;
566 case 2:
567 write32(&cru_ptr->clksel_con[60],
568 SPI_CLK_REG_VALUE(2, src_clk_div));
569 break;
570 case 3:
571 write32(&pmucru_ptr->pmucru_clksel[1],
572 RK_CLRSETBITS(SPI3_PLL_SEL_MASK << SPI3_PLL_SEL_SHIFT |
573 SPI3_DIV_CON_MASK << SPI3_DIV_CON_SHIFT,
574 SPI3_PLL_SEL_PPLL << SPI3_PLL_SEL_SHIFT |
575 (src_clk_div - 1) << SPI3_DIV_CON_SHIFT));
576 break;
577 case 4:
578 write32(&cru_ptr->clksel_con[60],
579 SPI_CLK_REG_VALUE(4, src_clk_div));
580 break;
581 case 5:
582 write32(&cru_ptr->clksel_con[58],
583 SPI_CLK_REG_VALUE(5, src_clk_div));
584 break;
585 default:
586 printk(BIOS_ERR, "do not support this spi bus\n");
587 }
huang linc14b54d2016-03-02 18:38:40 +0800588}
huang lin4f173742016-03-02 18:46:24 +0800589
590#define I2C_CLK_REG_VALUE(bus, clk_div) \
591 RK_CLRSETBITS(I2C_DIV_CON_MASK << \
592 CLK_I2C ##bus## _DIV_CON_SHIFT | \
593 CLK_I2C_PLL_SEL_MASK << \
594 CLK_I2C ##bus## _PLL_SEL_SHIFT, \
595 (clk_div - 1) << \
596 CLK_I2C ##bus## _DIV_CON_SHIFT | \
597 CLK_I2C_PLL_SEL_GPLL << \
598 CLK_I2C ##bus## _PLL_SEL_SHIFT)
599#define PMU_I2C_CLK_REG_VALUE(bus, clk_div) \
600 RK_CLRSETBITS(I2C_DIV_CON_MASK << I2C ##bus## _DIV_CON_SHIFT, \
601 (clk_div - 1) << I2C ##bus## _DIV_CON_SHIFT)
602
603static void rkclk_configure_i2c(unsigned int bus, unsigned int hz)
604{
605 int src_clk_div;
606 int pll;
607
608 /* i2c0,4,8 src clock from ppll, i2c1,2,3,5,6,7 src clock from gpll*/
609 pll = (bus == 0 || bus == 4 || bus == 8) ? PPLL_HZ : GPLL_HZ;
610 src_clk_div = pll / hz;
611 assert((src_clk_div - 1 < 127) && (src_clk_div * hz == pll));
612
613 switch (bus) {
614 case 0:
615 write32(&pmucru_ptr->pmucru_clksel[2],
616 PMU_I2C_CLK_REG_VALUE(0, src_clk_div));
617 break;
618 case 1:
619 write32(&cru_ptr->clksel_con[61],
620 I2C_CLK_REG_VALUE(1, src_clk_div));
621 break;
622 case 2:
623 write32(&cru_ptr->clksel_con[62],
624 I2C_CLK_REG_VALUE(2, src_clk_div));
625 break;
626 case 3:
627 write32(&cru_ptr->clksel_con[63],
628 I2C_CLK_REG_VALUE(3, src_clk_div));
629 break;
630 case 4:
631 write32(&pmucru_ptr->pmucru_clksel[3],
632 PMU_I2C_CLK_REG_VALUE(4, src_clk_div));
633 break;
634 case 5:
635 write32(&cru_ptr->clksel_con[61],
636 I2C_CLK_REG_VALUE(5, src_clk_div));
637 break;
638 case 6:
639 write32(&cru_ptr->clksel_con[62],
640 I2C_CLK_REG_VALUE(6, src_clk_div));
641 break;
642 case 7:
643 write32(&cru_ptr->clksel_con[63],
644 I2C_CLK_REG_VALUE(7, src_clk_div));
645 break;
646 case 8:
647 write32(&pmucru_ptr->pmucru_clksel[2],
648 PMU_I2C_CLK_REG_VALUE(8, src_clk_div));
649 break;
650 default:
651 printk(BIOS_ERR, "do not support this i2c bus\n");
652 }
653}
654
655uint32_t rkclk_i2c_clock_for_bus(unsigned bus)
656{
657 uint32_t freq = 198 * 1000 * 1000;
658
659 rkclk_configure_i2c(bus, freq);
660
661 return freq;
662}
Lin Huangbf48fbb2016-03-23 19:24:53 +0800663
664void rkclk_configure_saradc(unsigned int hz)
665{
666 int src_clk_div;
667
668 /* saradc src clk from 24MHz */
669 src_clk_div = 24 * MHz / hz;
670 assert((src_clk_div - 1 < 255) && (src_clk_div * hz == 24 * MHz));
671
672 write32(&cru_ptr->clksel_con[26],
673 RK_CLRSETBITS(CLK_SARADC_DIV_CON_MASK <<
674 CLK_SARADC_DIV_CON_SHIFT,
675 (src_clk_div - 1) << CLK_SARADC_DIV_CON_SHIFT));
676}
Shunqian Zhengc7f32a52016-05-04 15:54:37 +0800677
678void rkclk_configure_vop_aclk(u32 vop_id, u32 aclk_hz)
679{
680 u32 div;
681 void *reg_addr = vop_id ? &cru_ptr->clksel_con[48] :
682 &cru_ptr->clksel_con[47];
683
684 /* vop aclk source clk: cpll */
685 div = CPLL_HZ / aclk_hz;
686 assert((div - 1 < 32) && (div * aclk_hz == CPLL_HZ));
687
688 write32(reg_addr, RK_CLRSETBITS(
689 ACLK_VOP_PLL_SEL_MASK << ACLK_VOP_PLL_SEL_SHIFT |
690 ACLK_VOP_DIV_CON_MASK << ACLK_VOP_DIV_CON_SHIFT,
691 ACLK_VOP_PLL_SEL_CPLL << ACLK_VOP_PLL_SEL_SHIFT |
692 (div - 1) << ACLK_VOP_DIV_CON_SHIFT));
693}
694
695int rkclk_configure_vop_dclk(u32 vop_id, u32 dclk_hz)
696{
697 struct pll_div vpll_config = {0};
698 void *reg_addr = vop_id ? &cru_ptr->clksel_con[50] :
699 &cru_ptr->clksel_con[49];
700
701 /* vop dclk source from vpll, and equals to vpll(means div == 1) */
702 if (pll_para_config(dclk_hz, &vpll_config))
703 return -1;
704
705 rkclk_set_pll(&cru_ptr->vpll_con[0], &vpll_config);
706
707 write32(reg_addr, RK_CLRSETBITS(
708 DCLK_VOP_DCLK_SEL_MASK << DCLK_VOP_DCLK_SEL_SHIFT |
709 DCLK_VOP_PLL_SEL_MASK << DCLK_VOP_PLL_SEL_SHIFT |
710 DCLK_VOP_DIV_CON_MASK << DCLK_VOP_DIV_CON_SHIFT,
711 DCLK_VOP_DCLK_SEL_DIVOUT << DCLK_VOP_DCLK_SEL_SHIFT |
712 DCLK_VOP_PLL_SEL_VPLL << DCLK_VOP_PLL_SEL_SHIFT |
713 (1 - 1) << DCLK_VOP_DIV_CON_SHIFT));
714
715 return 0;
716}