blob: d66a0f0ed3103b6593225c4c1de1b26e3d6d2dbc [file] [log] [blame]
Angel Pons6e5aabd2020-03-23 23:44:42 +01001/* SPDX-License-Identifier: GPL-2.0-only */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002
Elyes HAOUASf97c1c92019-12-03 18:22:06 +01003#include <commonlib/helpers.h>
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01004#include <console/console.h>
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01005#include <string.h>
Subrata Banik53b08c32018-12-10 14:11:35 +05306#include <arch/cpu.h>
Kyösti Mälkki13f66502019-03-03 08:01:05 +02007#include <device/mmio.h>
Kyösti Mälkkif1b58b72019-03-01 13:43:02 +02008#include <device/pci_ops.h>
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01009#include <northbridge/intel/sandybridge/chip.h>
10#include <device/pci_def.h>
11#include <delay.h>
Elyes HAOUAS1d3b3c32019-05-04 08:12:42 +020012
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010013#include "raminit_native.h"
14#include "raminit_common.h"
Angel Pons7f6586f2020-03-21 12:45:12 +010015#include "raminit_tables.h"
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010016#include "sandybridge.h"
17
Angel Pons7c49cb82020-03-16 23:17:32 +010018/* FIXME: no support for 3-channel chipsets */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010019
Angel Pons88521882020-01-05 20:21:20 +010020/* length: [1..4] */
Angel Ponse7afcd532020-05-02 23:14:27 +020021static void iosav_run_queue(const int ch, const u8 loops, const u8 length, const u8 as_timer)
22{
23 MCHBAR32(IOSAV_SEQ_CTL_ch(ch)) = loops | ((length - 1) << 18) | (as_timer << 22);
24}
Angel Ponsad704002020-05-02 22:51:58 +020025
Angel Ponse7afcd532020-05-02 23:14:27 +020026static void iosav_run_once(const int ch, const u8 length)
27{
28 iosav_run_queue(ch, 1, length, 0);
29}
Felix Held9cf1dd22018-07-31 14:52:40 +020030
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010031static void sfence(void)
32{
33 asm volatile ("sfence");
34}
35
Angel Pons7c49cb82020-03-16 23:17:32 +010036/* Toggle IO reset bit */
37static void toggle_io_reset(void)
38{
Angel Pons88521882020-01-05 20:21:20 +010039 u32 r32 = MCHBAR32(MC_INIT_STATE_G);
Angel Pons7c49cb82020-03-16 23:17:32 +010040 MCHBAR32(MC_INIT_STATE_G) = r32 | 0x20;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010041 udelay(1);
Angel Pons88521882020-01-05 20:21:20 +010042 MCHBAR32(MC_INIT_STATE_G) = r32 & ~0x20;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010043 udelay(1);
44}
45
46static u32 get_XOVER_CLK(u8 rankmap)
47{
48 return rankmap << 24;
49}
50
51static u32 get_XOVER_CMD(u8 rankmap)
52{
53 u32 reg;
54
Angel Pons7c49cb82020-03-16 23:17:32 +010055 /* Enable xover cmd */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010056 reg = 0x4000;
57
Angel Pons7c49cb82020-03-16 23:17:32 +010058 /* Enable xover ctl */
59 if (rankmap & 0x03)
60 reg |= (1 << 17);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010061
Angel Pons7c49cb82020-03-16 23:17:32 +010062 if (rankmap & 0x0c)
63 reg |= (1 << 26);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010064
65 return reg;
66}
67
Angel Pons7c49cb82020-03-16 23:17:32 +010068/* CAS write latency. To be programmed in MR2. See DDR3 SPEC for MR2 documentation. */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010069u8 get_CWL(u32 tCK)
70{
Angel Pons7c49cb82020-03-16 23:17:32 +010071 /* Get CWL based on tCK using the following rule */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010072 switch (tCK) {
73 case TCK_1333MHZ:
74 return 12;
Angel Pons7c49cb82020-03-16 23:17:32 +010075
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010076 case TCK_1200MHZ:
77 case TCK_1100MHZ:
78 return 11;
Angel Pons7c49cb82020-03-16 23:17:32 +010079
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010080 case TCK_1066MHZ:
81 case TCK_1000MHZ:
82 return 10;
Angel Pons7c49cb82020-03-16 23:17:32 +010083
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010084 case TCK_933MHZ:
85 case TCK_900MHZ:
86 return 9;
Angel Pons7c49cb82020-03-16 23:17:32 +010087
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010088 case TCK_800MHZ:
89 case TCK_700MHZ:
90 return 8;
Angel Pons7c49cb82020-03-16 23:17:32 +010091
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010092 case TCK_666MHZ:
93 return 7;
Angel Pons7c49cb82020-03-16 23:17:32 +010094
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010095 case TCK_533MHZ:
96 return 6;
Angel Pons7c49cb82020-03-16 23:17:32 +010097
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +010098 default:
99 return 5;
100 }
101}
102
103void dram_find_common_params(ramctr_timing *ctrl)
104{
105 size_t valid_dimms;
106 int channel, slot;
107 dimm_info *dimms = &ctrl->info;
108
109 ctrl->cas_supported = (1 << (MAX_CAS - MIN_CAS + 1)) - 1;
110 valid_dimms = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100111
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100112 FOR_ALL_CHANNELS for (slot = 0; slot < 2; slot++) {
Angel Pons7c49cb82020-03-16 23:17:32 +0100113
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100114 const dimm_attr *dimm = &dimms->dimm[channel][slot];
115 if (dimm->dram_type != SPD_MEMORY_TYPE_SDRAM_DDR3)
116 continue;
Angel Pons7c49cb82020-03-16 23:17:32 +0100117
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100118 valid_dimms++;
119
120 /* Find all possible CAS combinations */
121 ctrl->cas_supported &= dimm->cas_supported;
122
123 /* Find the smallest common latencies supported by all DIMMs */
Angel Pons7c49cb82020-03-16 23:17:32 +0100124 ctrl->tCK = MAX(ctrl->tCK, dimm->tCK);
125 ctrl->tAA = MAX(ctrl->tAA, dimm->tAA);
126 ctrl->tWR = MAX(ctrl->tWR, dimm->tWR);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100127 ctrl->tRCD = MAX(ctrl->tRCD, dimm->tRCD);
128 ctrl->tRRD = MAX(ctrl->tRRD, dimm->tRRD);
Angel Pons7c49cb82020-03-16 23:17:32 +0100129 ctrl->tRP = MAX(ctrl->tRP, dimm->tRP);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100130 ctrl->tRAS = MAX(ctrl->tRAS, dimm->tRAS);
131 ctrl->tRFC = MAX(ctrl->tRFC, dimm->tRFC);
132 ctrl->tWTR = MAX(ctrl->tWTR, dimm->tWTR);
133 ctrl->tRTP = MAX(ctrl->tRTP, dimm->tRTP);
134 ctrl->tFAW = MAX(ctrl->tFAW, dimm->tFAW);
Dan Elkoubydabebc32018-04-13 18:47:10 +0300135 ctrl->tCWL = MAX(ctrl->tCWL, dimm->tCWL);
136 ctrl->tCMD = MAX(ctrl->tCMD, dimm->tCMD);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100137 }
138
139 if (!ctrl->cas_supported)
Angel Pons7c49cb82020-03-16 23:17:32 +0100140 die("Unsupported DIMM combination. DIMMS do not support common CAS latency");
141
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100142 if (!valid_dimms)
143 die("No valid DIMMs found");
144}
145
Angel Pons88521882020-01-05 20:21:20 +0100146void dram_xover(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100147{
148 u32 reg;
149 int channel;
150
151 FOR_ALL_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +0100152 /* Enable xover clk */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100153 reg = get_XOVER_CLK(ctrl->rankmap[channel]);
Angel Pons88521882020-01-05 20:21:20 +0100154 printram("XOVER CLK [%x] = %x\n", GDCRCKPICODE_ch(channel), reg);
155 MCHBAR32(GDCRCKPICODE_ch(channel)) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100156
Angel Pons7c49cb82020-03-16 23:17:32 +0100157 /* Enable xover ctl & xover cmd */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100158 reg = get_XOVER_CMD(ctrl->rankmap[channel]);
Angel Pons88521882020-01-05 20:21:20 +0100159 printram("XOVER CMD [%x] = %x\n", GDCRCMDPICODING_ch(channel), reg);
160 MCHBAR32(GDCRCMDPICODING_ch(channel)) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100161 }
162}
163
Patrick Rudolph19c3dad2016-11-26 11:37:45 +0100164static void dram_odt_stretch(ramctr_timing *ctrl, int channel)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100165{
Angel Pons89ae6b82020-03-21 13:23:32 +0100166 u32 addr, stretch;
Patrick Rudolph19c3dad2016-11-26 11:37:45 +0100167
168 stretch = ctrl->ref_card_offset[channel];
Angel Pons7c49cb82020-03-16 23:17:32 +0100169 /*
170 * ODT stretch:
171 * Delay ODT signal by stretch value. Useful for multi DIMM setups on the same channel.
172 */
Angel Pons89ae6b82020-03-21 13:23:32 +0100173 if (IS_SANDY_CPU(ctrl->cpu) && IS_SANDY_CPU_C(ctrl->cpu)) {
Patrick Rudolph19c3dad2016-11-26 11:37:45 +0100174 if (stretch == 2)
175 stretch = 3;
Angel Pons7c49cb82020-03-16 23:17:32 +0100176
Angel Pons88521882020-01-05 20:21:20 +0100177 addr = SCHED_SECOND_CBIT_ch(channel);
Angel Pons7c49cb82020-03-16 23:17:32 +0100178 MCHBAR32_AND_OR(addr, 0xffffc3ff, (stretch << 12) | (stretch << 10));
179 printk(RAM_DEBUG, "OTHP Workaround [%x] = %x\n", addr, MCHBAR32(addr));
Patrick Rudolph19c3dad2016-11-26 11:37:45 +0100180 } else {
Angel Pons88521882020-01-05 20:21:20 +0100181 addr = TC_OTHP_ch(channel);
Angel Pons7c49cb82020-03-16 23:17:32 +0100182 MCHBAR32_AND_OR(addr, 0xfff0ffff, (stretch << 16) | (stretch << 18));
Iru Cai89af71c2018-08-16 16:46:27 +0800183 printk(RAM_DEBUG, "OTHP [%x] = %x\n", addr, MCHBAR32(addr));
Patrick Rudolph19c3dad2016-11-26 11:37:45 +0100184 }
185}
186
187void dram_timing_regs(ramctr_timing *ctrl)
188{
189 u32 reg, addr, val32;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100190 int channel;
191
192 FOR_ALL_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +0100193 /* BIN parameters */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100194 reg = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100195 reg |= (ctrl->tRCD << 0);
196 reg |= (ctrl->tRP << 4);
197 reg |= (ctrl->CAS << 8);
198 reg |= (ctrl->CWL << 12);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100199 reg |= (ctrl->tRAS << 16);
Angel Pons88521882020-01-05 20:21:20 +0100200 printram("DBP [%x] = %x\n", TC_DBP_ch(channel), reg);
201 MCHBAR32(TC_DBP_ch(channel)) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100202
Angel Pons7c49cb82020-03-16 23:17:32 +0100203 /* Regular access parameters */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100204 reg = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100205 reg |= (ctrl->tRRD << 0);
206 reg |= (ctrl->tRTP << 4);
207 reg |= (ctrl->tCKE << 8);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100208 reg |= (ctrl->tWTR << 12);
209 reg |= (ctrl->tFAW << 16);
Angel Pons7c49cb82020-03-16 23:17:32 +0100210 reg |= (ctrl->tWR << 24);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100211 reg |= (3 << 30);
Angel Pons88521882020-01-05 20:21:20 +0100212 printram("RAP [%x] = %x\n", TC_RAP_ch(channel), reg);
213 MCHBAR32(TC_RAP_ch(channel)) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100214
Angel Pons7c49cb82020-03-16 23:17:32 +0100215 /* Other parameters */
Angel Pons88521882020-01-05 20:21:20 +0100216 addr = TC_OTHP_ch(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100217 reg = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100218 reg |= (ctrl->tXPDLL << 0);
219 reg |= (ctrl->tXP << 5);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100220 reg |= (ctrl->tAONPD << 8);
221 reg |= 0xa0000;
222 printram("OTHP [%x] = %x\n", addr, reg);
223 MCHBAR32(addr) = reg;
224
Angel Ponsca2f68a2020-03-22 13:15:12 +0100225 /* Debug parameters - only applies to Ivy Bridge */
226 if (IS_IVY_CPU(ctrl->cpu)) {
227 reg = 0;
228
229 /*
230 * If tXP and tXPDLL are very high, we need to increase them by one.
231 * This can only happen on Ivy Bridge, and when overclocking the RAM.
232 */
233 if (ctrl->tXP >= 8)
234 reg |= (1 << 12);
235
236 if (ctrl->tXPDLL >= 32)
237 reg |= (1 << 13);
238
239 MCHBAR32(TC_DTP_ch(channel)) = reg;
240 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100241
Felix Held9fe248f2018-07-31 20:59:45 +0200242 MCHBAR32_OR(addr, 0x00020000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100243
Patrick Rudolph19c3dad2016-11-26 11:37:45 +0100244 dram_odt_stretch(ctrl, channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100245
Patrick Rudolph5ee9bc12017-10-31 10:49:52 +0100246 /*
Angel Pons7c49cb82020-03-16 23:17:32 +0100247 * TC-Refresh timing parameters:
248 * The tREFIx9 field should be programmed to minimum of 8.9 * tREFI (to allow
249 * for possible delays from ZQ or isoc) and tRASmax (70us) divided by 1024.
Patrick Rudolph5ee9bc12017-10-31 10:49:52 +0100250 */
251 val32 = MIN((ctrl->tREFI * 89) / 10, (70000 << 8) / ctrl->tCK);
252
Angel Pons7c49cb82020-03-16 23:17:32 +0100253 reg = ((ctrl->tREFI & 0xffff) << 0) |
254 ((ctrl->tRFC & 0x01ff) << 16) | (((val32 / 1024) & 0x7f) << 25);
255
Angel Pons88521882020-01-05 20:21:20 +0100256 printram("REFI [%x] = %x\n", TC_RFTP_ch(channel), reg);
257 MCHBAR32(TC_RFTP_ch(channel)) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100258
Angel Pons88521882020-01-05 20:21:20 +0100259 MCHBAR32_OR(TC_RFP_ch(channel), 0xff);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100260
Angel Pons7c49cb82020-03-16 23:17:32 +0100261 /* Self-refresh timing parameters */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100262 reg = 0;
263 val32 = tDLLK;
Angel Pons7c49cb82020-03-16 23:17:32 +0100264 reg = (reg & ~0x00000fff) | (val32 << 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100265 val32 = ctrl->tXSOffset;
Angel Pons7c49cb82020-03-16 23:17:32 +0100266 reg = (reg & ~0x0000f000) | (val32 << 12);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100267 val32 = tDLLK - ctrl->tXSOffset;
Angel Pons7c49cb82020-03-16 23:17:32 +0100268 reg = (reg & ~0x03ff0000) | (val32 << 16);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100269 val32 = ctrl->tMOD - 8;
Angel Pons7c49cb82020-03-16 23:17:32 +0100270 reg = (reg & ~0xf0000000) | (val32 << 28);
271 printram("SRFTP [%x] = %x\n", TC_SRFTP_ch(channel), reg);
Angel Pons88521882020-01-05 20:21:20 +0100272 MCHBAR32(TC_SRFTP_ch(channel)) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100273 }
274}
275
276void dram_dimm_mapping(ramctr_timing *ctrl)
277{
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100278 int channel;
279 dimm_info *info = &ctrl->info;
280
281 FOR_ALL_CHANNELS {
Nico Huberac4f2162017-10-01 18:14:43 +0200282 dimm_attr *dimmA, *dimmB;
283 u32 reg = 0;
284
Angel Pons7c49cb82020-03-16 23:17:32 +0100285 if (info->dimm[channel][0].size_mb >= info->dimm[channel][1].size_mb) {
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100286 dimmA = &info->dimm[channel][0];
287 dimmB = &info->dimm[channel][1];
Angel Pons7c49cb82020-03-16 23:17:32 +0100288 reg |= (0 << 16);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100289 } else {
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100290 dimmA = &info->dimm[channel][1];
291 dimmB = &info->dimm[channel][0];
Angel Pons7c49cb82020-03-16 23:17:32 +0100292 reg |= (1 << 16);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100293 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100294
Nico Huberac4f2162017-10-01 18:14:43 +0200295 if (dimmA && (dimmA->ranks > 0)) {
Angel Pons7c49cb82020-03-16 23:17:32 +0100296 reg |= (dimmA->size_mb / 256) << 0;
297 reg |= (dimmA->ranks - 1) << 17;
Nico Huberac4f2162017-10-01 18:14:43 +0200298 reg |= (dimmA->width / 8 - 1) << 19;
299 }
300
301 if (dimmB && (dimmB->ranks > 0)) {
Angel Pons7c49cb82020-03-16 23:17:32 +0100302 reg |= (dimmB->size_mb / 256) << 8;
303 reg |= (dimmB->ranks - 1) << 18;
Nico Huberac4f2162017-10-01 18:14:43 +0200304 reg |= (dimmB->width / 8 - 1) << 20;
305 }
306
Angel Pons7c49cb82020-03-16 23:17:32 +0100307 reg |= 1 << 21; /* Rank interleave */
308 reg |= 1 << 22; /* Enhanced interleave */
Nico Huberac4f2162017-10-01 18:14:43 +0200309
Angel Pons7c49cb82020-03-16 23:17:32 +0100310 if ((dimmA && (dimmA->ranks > 0)) || (dimmB && (dimmB->ranks > 0))) {
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100311 ctrl->mad_dimm[channel] = reg;
312 } else {
313 ctrl->mad_dimm[channel] = 0;
314 }
315 }
316}
317
Patrick Rudolphdd662872017-10-28 18:20:11 +0200318void dram_dimm_set_mapping(ramctr_timing *ctrl, int training)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100319{
320 int channel;
Patrick Rudolphdd662872017-10-28 18:20:11 +0200321 u32 ecc;
322
323 if (ctrl->ecc_enabled)
324 ecc = training ? (1 << 24) : (3 << 24);
325 else
326 ecc = 0;
327
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100328 FOR_ALL_CHANNELS {
Patrick Rudolphdd662872017-10-28 18:20:11 +0200329 MCHBAR32(MAD_DIMM(channel)) = ctrl->mad_dimm[channel] | ecc;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100330 }
Patrick Rudolphdd662872017-10-28 18:20:11 +0200331
332 //udelay(10); /* TODO: Might be needed for ECC configurations; so far works without. */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100333}
334
Angel Pons88521882020-01-05 20:21:20 +0100335void dram_zones(ramctr_timing *ctrl, int training)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100336{
337 u32 reg, ch0size, ch1size;
338 u8 val;
339 reg = 0;
340 val = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100341
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100342 if (training) {
343 ch0size = ctrl->channel_size_mb[0] ? 256 : 0;
344 ch1size = ctrl->channel_size_mb[1] ? 256 : 0;
345 } else {
346 ch0size = ctrl->channel_size_mb[0];
347 ch1size = ctrl->channel_size_mb[1];
348 }
349
350 if (ch0size >= ch1size) {
Angel Pons88521882020-01-05 20:21:20 +0100351 reg = MCHBAR32(MAD_ZR);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100352 val = ch1size / 256;
353 reg = (reg & ~0xff000000) | val << 24;
Angel Pons7c49cb82020-03-16 23:17:32 +0100354 reg = (reg & ~0x00ff0000) | (2 * val) << 16;
Angel Pons88521882020-01-05 20:21:20 +0100355 MCHBAR32(MAD_ZR) = reg;
Felix Helddee167e2019-12-30 17:30:16 +0100356 MCHBAR32(MAD_CHNL) = 0x24;
Angel Pons7c49cb82020-03-16 23:17:32 +0100357
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100358 } else {
Angel Pons88521882020-01-05 20:21:20 +0100359 reg = MCHBAR32(MAD_ZR);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100360 val = ch0size / 256;
361 reg = (reg & ~0xff000000) | val << 24;
Angel Pons7c49cb82020-03-16 23:17:32 +0100362 reg = (reg & ~0x00ff0000) | (2 * val) << 16;
Angel Pons88521882020-01-05 20:21:20 +0100363 MCHBAR32(MAD_ZR) = reg;
Felix Helddee167e2019-12-30 17:30:16 +0100364 MCHBAR32(MAD_CHNL) = 0x21;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100365 }
366}
367
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100368#define DEFAULT_PCI_MMIO_SIZE 2048
369
370static unsigned int get_mmio_size(void)
371{
372 const struct device *dev;
373 const struct northbridge_intel_sandybridge_config *cfg = NULL;
374
Angel Ponsb31d1d72020-01-10 01:35:09 +0100375 dev = pcidev_path_on_root(PCI_DEVFN(0, 0));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100376 if (dev)
377 cfg = dev->chip_info;
378
379 /* If this is zero, it just means devicetree.cb didn't set it */
380 if (!cfg || cfg->pci_mmio_size == 0)
381 return DEFAULT_PCI_MMIO_SIZE;
382 else
383 return cfg->pci_mmio_size;
384}
385
Patrick Rudolph05d4bf7e2017-10-28 16:36:09 +0200386/*
387 * Returns the ECC mode the NB is running at. It takes precedence over ECC capability.
388 * The ME/PCU/.. has the ability to change this.
389 * Return 0: ECC is optional
390 * Return 1: ECC is forced
391 */
392bool get_host_ecc_forced(void)
393{
394 /* read Capabilities A Register */
395 const u32 reg32 = pci_read_config32(HOST_BRIDGE, CAPID0_A);
396 return !!(reg32 & (1 << 24));
397}
398
399/*
400 * Returns the ECC capability.
401 * The ME/PCU/.. has the ability to change this.
402 * Return 0: ECC is disabled
403 * Return 1: ECC is possible
404 */
405bool get_host_ecc_cap(void)
406{
407 /* read Capabilities A Register */
408 const u32 reg32 = pci_read_config32(HOST_BRIDGE, CAPID0_A);
409 return !(reg32 & (1 << 25));
410}
411
Angel Pons88521882020-01-05 20:21:20 +0100412void dram_memorymap(ramctr_timing *ctrl, int me_uma_size)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100413{
Angel Pons7c49cb82020-03-16 23:17:32 +0100414 u32 reg, val, reclaim, tom, gfxstolen, gttsize;
415 size_t tsegbase, toludbase, remapbase, gfxstolenbase, mmiosize, gttbase;
416 size_t tsegsize, touudbase, remaplimit, mestolenbase, tsegbasedelta;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100417 uint16_t ggc;
418
419 mmiosize = get_mmio_size();
420
Felix Held87ddea22020-01-26 04:55:27 +0100421 ggc = pci_read_config16(HOST_BRIDGE, GGC);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100422 if (!(ggc & 2)) {
423 gfxstolen = ((ggc >> 3) & 0x1f) * 32;
Angel Pons7c49cb82020-03-16 23:17:32 +0100424 gttsize = ((ggc >> 8) & 0x3);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100425 } else {
426 gfxstolen = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100427 gttsize = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100428 }
429
430 tsegsize = CONFIG_SMM_TSEG_SIZE >> 20;
431
432 tom = ctrl->channel_size_mb[0] + ctrl->channel_size_mb[1];
433
434 mestolenbase = tom - me_uma_size;
435
Angel Pons7c49cb82020-03-16 23:17:32 +0100436 toludbase = MIN(4096 - mmiosize + gfxstolen + gttsize + tsegsize, tom - me_uma_size);
437
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100438 gfxstolenbase = toludbase - gfxstolen;
439 gttbase = gfxstolenbase - gttsize;
440
441 tsegbase = gttbase - tsegsize;
442
Angel Pons7c49cb82020-03-16 23:17:32 +0100443 /* Round tsegbase down to nearest address aligned to tsegsize */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100444 tsegbasedelta = tsegbase & (tsegsize - 1);
445 tsegbase &= ~(tsegsize - 1);
446
447 gttbase -= tsegbasedelta;
448 gfxstolenbase -= tsegbasedelta;
449 toludbase -= tsegbasedelta;
450
Angel Pons7c49cb82020-03-16 23:17:32 +0100451 /* Test if it is possible to reclaim a hole in the RAM addressing */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100452 if (tom - me_uma_size > toludbase) {
Angel Pons7c49cb82020-03-16 23:17:32 +0100453 /* Reclaim is possible */
454 reclaim = 1;
455 remapbase = MAX(4096, tom - me_uma_size);
456 remaplimit = remapbase + MIN(4096, tom - me_uma_size) - toludbase - 1;
457 touudbase = remaplimit + 1;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100458 } else {
459 // Reclaim not possible
Angel Pons7c49cb82020-03-16 23:17:32 +0100460 reclaim = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100461 touudbase = tom - me_uma_size;
462 }
463
Angel Pons7c49cb82020-03-16 23:17:32 +0100464 /* Update memory map in PCIe configuration space */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100465 printk(BIOS_DEBUG, "Update PCI-E configuration space:\n");
466
Angel Pons7c49cb82020-03-16 23:17:32 +0100467 /* TOM (top of memory) */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100468 reg = pci_read_config32(HOST_BRIDGE, TOM);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100469 val = tom & 0xfff;
470 reg = (reg & ~0xfff00000) | (val << 20);
Felix Held4902fee2019-12-28 18:09:47 +0100471 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", TOM, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100472 pci_write_config32(HOST_BRIDGE, TOM, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100473
Angel Ponsb31d1d72020-01-10 01:35:09 +0100474 reg = pci_read_config32(HOST_BRIDGE, TOM + 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100475 val = tom & 0xfffff000;
476 reg = (reg & ~0x000fffff) | (val >> 12);
Felix Held4902fee2019-12-28 18:09:47 +0100477 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", TOM + 4, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100478 pci_write_config32(HOST_BRIDGE, TOM + 4, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100479
Angel Pons7c49cb82020-03-16 23:17:32 +0100480 /* TOLUD (Top Of Low Usable DRAM) */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100481 reg = pci_read_config32(HOST_BRIDGE, TOLUD);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100482 val = toludbase & 0xfff;
483 reg = (reg & ~0xfff00000) | (val << 20);
Felix Held4902fee2019-12-28 18:09:47 +0100484 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", TOLUD, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100485 pci_write_config32(HOST_BRIDGE, TOLUD, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100486
Angel Pons7c49cb82020-03-16 23:17:32 +0100487 /* TOUUD LSB (Top Of Upper Usable DRAM) */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100488 reg = pci_read_config32(HOST_BRIDGE, TOUUD);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100489 val = touudbase & 0xfff;
490 reg = (reg & ~0xfff00000) | (val << 20);
Felix Held4902fee2019-12-28 18:09:47 +0100491 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", TOUUD, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100492 pci_write_config32(HOST_BRIDGE, TOUUD, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100493
Angel Pons7c49cb82020-03-16 23:17:32 +0100494 /* TOUUD MSB */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100495 reg = pci_read_config32(HOST_BRIDGE, TOUUD + 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100496 val = touudbase & 0xfffff000;
497 reg = (reg & ~0x000fffff) | (val >> 12);
Felix Held4902fee2019-12-28 18:09:47 +0100498 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", TOUUD + 4, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100499 pci_write_config32(HOST_BRIDGE, TOUUD + 4, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100500
501 if (reclaim) {
Angel Pons7c49cb82020-03-16 23:17:32 +0100502 /* REMAP BASE */
503 pci_write_config32(HOST_BRIDGE, REMAPBASE, remapbase << 20);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100504 pci_write_config32(HOST_BRIDGE, REMAPBASE + 4, remapbase >> 12);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100505
Angel Pons7c49cb82020-03-16 23:17:32 +0100506 /* REMAP LIMIT */
507 pci_write_config32(HOST_BRIDGE, REMAPLIMIT, remaplimit << 20);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100508 pci_write_config32(HOST_BRIDGE, REMAPLIMIT + 4, remaplimit >> 12);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100509 }
Angel Pons7c49cb82020-03-16 23:17:32 +0100510 /* TSEG */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100511 reg = pci_read_config32(HOST_BRIDGE, TSEGMB);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100512 val = tsegbase & 0xfff;
513 reg = (reg & ~0xfff00000) | (val << 20);
Felix Held4902fee2019-12-28 18:09:47 +0100514 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", TSEGMB, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100515 pci_write_config32(HOST_BRIDGE, TSEGMB, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100516
Angel Pons7c49cb82020-03-16 23:17:32 +0100517 /* GFX stolen memory */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100518 reg = pci_read_config32(HOST_BRIDGE, BDSM);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100519 val = gfxstolenbase & 0xfff;
520 reg = (reg & ~0xfff00000) | (val << 20);
Felix Held4902fee2019-12-28 18:09:47 +0100521 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", BDSM, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100522 pci_write_config32(HOST_BRIDGE, BDSM, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100523
Angel Pons7c49cb82020-03-16 23:17:32 +0100524 /* GTT stolen memory */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100525 reg = pci_read_config32(HOST_BRIDGE, BGSM);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100526 val = gttbase & 0xfff;
527 reg = (reg & ~0xfff00000) | (val << 20);
Felix Held4902fee2019-12-28 18:09:47 +0100528 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", BGSM, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100529 pci_write_config32(HOST_BRIDGE, BGSM, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100530
531 if (me_uma_size) {
Angel Ponsb31d1d72020-01-10 01:35:09 +0100532 reg = pci_read_config32(HOST_BRIDGE, MESEG_MASK + 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100533 val = (0x80000 - me_uma_size) & 0xfffff000;
534 reg = (reg & ~0x000fffff) | (val >> 12);
Felix Held651f99f2019-12-30 16:28:48 +0100535 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", MESEG_MASK + 4, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100536 pci_write_config32(HOST_BRIDGE, MESEG_MASK + 4, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100537
Angel Pons7c49cb82020-03-16 23:17:32 +0100538 /* ME base */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100539 reg = pci_read_config32(HOST_BRIDGE, MESEG_BASE);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100540 val = mestolenbase & 0xfff;
541 reg = (reg & ~0xfff00000) | (val << 20);
Felix Held651f99f2019-12-30 16:28:48 +0100542 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", MESEG_BASE, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100543 pci_write_config32(HOST_BRIDGE, MESEG_BASE, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100544
Angel Ponsb31d1d72020-01-10 01:35:09 +0100545 reg = pci_read_config32(HOST_BRIDGE, MESEG_BASE + 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100546 val = mestolenbase & 0xfffff000;
547 reg = (reg & ~0x000fffff) | (val >> 12);
Felix Held651f99f2019-12-30 16:28:48 +0100548 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", MESEG_BASE + 4, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100549 pci_write_config32(HOST_BRIDGE, MESEG_BASE + 4, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100550
Angel Pons7c49cb82020-03-16 23:17:32 +0100551 /* ME mask */
Angel Ponsb31d1d72020-01-10 01:35:09 +0100552 reg = pci_read_config32(HOST_BRIDGE, MESEG_MASK);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100553 val = (0x80000 - me_uma_size) & 0xfff;
554 reg = (reg & ~0xfff00000) | (val << 20);
Angel Pons7c49cb82020-03-16 23:17:32 +0100555 reg = reg | ME_STLEN_EN; /* Set ME memory enable */
556 reg = reg | MELCK; /* Set lock bit on ME mem */
Felix Held651f99f2019-12-30 16:28:48 +0100557 printk(BIOS_DEBUG, "PCI(0, 0, 0)[%x] = %x\n", MESEG_MASK, reg);
Angel Ponsb31d1d72020-01-10 01:35:09 +0100558 pci_write_config32(HOST_BRIDGE, MESEG_MASK, reg);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100559 }
560}
561
Angel Pons88521882020-01-05 20:21:20 +0100562static void wait_for_iosav(int channel)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100563{
564 while (1) {
Angel Pons88521882020-01-05 20:21:20 +0100565 if (MCHBAR32(IOSAV_STATUS_ch(channel)) & 0x50)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100566 return;
567 }
568}
569
Angel Pons88521882020-01-05 20:21:20 +0100570static void write_reset(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100571{
572 int channel, slotrank;
573
Angel Pons7c49cb82020-03-16 23:17:32 +0100574 /* Choose a populated channel */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100575 channel = (ctrl->rankmap[0]) ? 0 : 1;
576
Angel Pons88521882020-01-05 20:21:20 +0100577 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100578
Angel Pons7c49cb82020-03-16 23:17:32 +0100579 /* Choose a populated rank */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100580 slotrank = (ctrl->rankmap[channel] & 1) ? 0 : 2;
581
582 /* DRAM command ZQCS */
Angel Ponsca00dec2020-05-02 15:04:00 +0200583 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +0200584 IOSAV_ZQCS, 0,
Angel Ponsca00dec2020-05-02 15:04:00 +0200585 1, 3, 8, SSQ_NA,
586 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +0200587 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100588
Angel Pons7c49cb82020-03-16 23:17:32 +0100589 /*
590 * Execute command queue - why is bit 22 set here?!
591 *
592 * This is actually using the IOSAV state machine as a timer, so refresh is allowed.
593 */
Angel Ponsad704002020-05-02 22:51:58 +0200594 iosav_run_queue(channel, 1, 1, true);
Felix Held9cf1dd22018-07-31 14:52:40 +0200595
Angel Pons88521882020-01-05 20:21:20 +0100596 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100597}
598
Angel Pons88521882020-01-05 20:21:20 +0100599void dram_jedecreset(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100600{
Felix Held9fe248f2018-07-31 20:59:45 +0200601 u32 reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100602 int channel;
603
Angel Pons7c49cb82020-03-16 23:17:32 +0100604 while (!(MCHBAR32(RCOMP_TIMER) & (1 << 16)))
605 ;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100606 do {
Angel Pons88521882020-01-05 20:21:20 +0100607 reg = MCHBAR32(IOSAV_STATUS_ch(0));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100608 } while ((reg & 0x14) == 0);
609
Angel Pons7c49cb82020-03-16 23:17:32 +0100610 /* Set state of memory controller */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100611 reg = 0x112;
Angel Pons88521882020-01-05 20:21:20 +0100612 MCHBAR32(MC_INIT_STATE_G) = reg;
613 MCHBAR32(MC_INIT_STATE) = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100614 reg |= 2; /* DDR reset */
Angel Pons88521882020-01-05 20:21:20 +0100615 MCHBAR32(MC_INIT_STATE_G) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100616
Angel Pons7c49cb82020-03-16 23:17:32 +0100617 /* Assert DIMM reset signal */
618 MCHBAR32_AND(MC_INIT_STATE_G, ~2);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100619
Angel Pons7c49cb82020-03-16 23:17:32 +0100620 /* Wait 200us */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100621 udelay(200);
622
Angel Pons7c49cb82020-03-16 23:17:32 +0100623 /* Deassert DIMM reset signal */
Angel Pons88521882020-01-05 20:21:20 +0100624 MCHBAR32_OR(MC_INIT_STATE_G, 2);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100625
Angel Pons7c49cb82020-03-16 23:17:32 +0100626 /* Wait 500us */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100627 udelay(500);
628
Angel Pons7c49cb82020-03-16 23:17:32 +0100629 /* Enable DCLK */
Angel Pons88521882020-01-05 20:21:20 +0100630 MCHBAR32_OR(MC_INIT_STATE_G, 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100631
Angel Pons7c49cb82020-03-16 23:17:32 +0100632 /* XXX Wait 20ns */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100633 udelay(1);
634
635 FOR_ALL_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +0100636 /* Set valid rank CKE */
Felix Held9fe248f2018-07-31 20:59:45 +0200637 reg = ctrl->rankmap[channel];
Angel Pons88521882020-01-05 20:21:20 +0100638 MCHBAR32(MC_INIT_STATE_ch(channel)) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100639
Angel Pons7c49cb82020-03-16 23:17:32 +0100640 /* Wait 10ns for ranks to settle */
641 // udelay(0.01);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100642
643 reg = (reg & ~0xf0) | (ctrl->rankmap[channel] << 4);
Angel Pons88521882020-01-05 20:21:20 +0100644 MCHBAR32(MC_INIT_STATE_ch(channel)) = reg;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100645
Angel Pons7c49cb82020-03-16 23:17:32 +0100646 /* Write reset using a NOP */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100647 write_reset(ctrl);
648 }
649}
650
651static odtmap get_ODT(ramctr_timing *ctrl, u8 rank, int channel)
652{
Angel Pons7c49cb82020-03-16 23:17:32 +0100653 /* Get ODT based on rankmap */
654 int dimms_per_ch = (ctrl->rankmap[channel] & 1) + ((ctrl->rankmap[channel] >> 2) & 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100655
656 if (dimms_per_ch == 1) {
Angel Pons7c49cb82020-03-16 23:17:32 +0100657 return (const odtmap){60, 60};
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100658 } else {
659 return (const odtmap){120, 30};
660 }
661}
662
Angel Pons7c49cb82020-03-16 23:17:32 +0100663static void write_mrreg(ramctr_timing *ctrl, int channel, int slotrank, int reg, u32 val)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100664{
Angel Pons88521882020-01-05 20:21:20 +0100665 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100666
667 if (ctrl->rank_mirror[channel][slotrank]) {
668 /* DDR3 Rank1 Address mirror
Angel Pons7c49cb82020-03-16 23:17:32 +0100669 swap the following pins:
670 A3<->A4, A5<->A6, A7<->A8, BA0<->BA1 */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100671 reg = ((reg >> 1) & 1) | ((reg << 1) & 2);
Angel Pons7c49cb82020-03-16 23:17:32 +0100672 val = (val & ~0x1f8) | ((val >> 1) & 0xa8) | ((val & 0xa8) << 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100673 }
674
675 /* DRAM command MRS */
Angel Ponsca00dec2020-05-02 15:04:00 +0200676 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +0200677 IOSAV_MRS, 0,
Angel Ponsca00dec2020-05-02 15:04:00 +0200678 1, 4, 4, SSQ_NA,
679 val, 6, reg, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +0200680 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100681
682 /* DRAM command MRS */
Angel Ponsca00dec2020-05-02 15:04:00 +0200683 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +0200684 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +0200685 1, 4, 4, SSQ_NA,
686 val, 6, reg, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +0200687 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100688
689 /* DRAM command MRS */
Angel Ponsca00dec2020-05-02 15:04:00 +0200690 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +0200691 IOSAV_MRS, 0,
Angel Ponsca00dec2020-05-02 15:04:00 +0200692 1, 4, ctrl->tMOD, SSQ_NA,
693 val, 6, reg, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +0200694 0, 0, 0, 0, 0, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +0200695
Angel Pons7c49cb82020-03-16 23:17:32 +0100696 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +0200697 iosav_run_once(channel, 3);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100698}
699
Angel Pons88521882020-01-05 20:21:20 +0100700static u32 make_mr0(ramctr_timing *ctrl, u8 rank)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100701{
702 u16 mr0reg, mch_cas, mch_wr;
703 static const u8 mch_wr_t[12] = { 1, 2, 3, 4, 0, 5, 0, 6, 0, 7, 0, 0 };
Patrick Rudolph74203de2017-11-20 11:57:01 +0100704 const size_t is_mobile = get_platform_type() == PLATFORM_MOBILE;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100705
706 /* DLL Reset - self clearing - set after CLK frequency has been changed */
707 mr0reg = 0x100;
708
Angel Pons7c49cb82020-03-16 23:17:32 +0100709 /* Convert CAS to MCH register friendly */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100710 if (ctrl->CAS < 12) {
711 mch_cas = (u16) ((ctrl->CAS - 4) << 1);
712 } else {
713 mch_cas = (u16) (ctrl->CAS - 12);
714 mch_cas = ((mch_cas << 1) | 0x1);
715 }
716
Angel Pons7c49cb82020-03-16 23:17:32 +0100717 /* Convert tWR to MCH register friendly */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100718 mch_wr = mch_wr_t[ctrl->tWR - 5];
719
Angel Pons7c49cb82020-03-16 23:17:32 +0100720 mr0reg = (mr0reg & ~0x0004) | ((mch_cas & 0x1) << 2);
721 mr0reg = (mr0reg & ~0x0070) | ((mch_cas & 0xe) << 3);
722 mr0reg = (mr0reg & ~0x0e00) | (mch_wr << 9);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100723
Angel Pons7c49cb82020-03-16 23:17:32 +0100724 /* Precharge PD - Fast (desktop) 1 or slow (mobile) 0 - mostly power-saving feature */
725 mr0reg = (mr0reg & ~(1 << 12)) | (!is_mobile << 12);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100726 return mr0reg;
727}
728
729static void dram_mr0(ramctr_timing *ctrl, u8 rank, int channel)
730{
Felix Held2bb3cdf2018-07-28 00:23:59 +0200731 write_mrreg(ctrl, channel, rank, 0, make_mr0(ctrl, rank));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100732}
733
734static u32 encode_odt(u32 odt)
735{
736 switch (odt) {
737 case 30:
738 return (1 << 9) | (1 << 2); // RZQ/8, RZQ/4
739 case 60:
740 return (1 << 2); // RZQ/4
741 case 120:
742 return (1 << 6); // RZQ/2
743 default:
744 case 0:
745 return 0;
746 }
747}
748
749static u32 make_mr1(ramctr_timing *ctrl, u8 rank, int channel)
750{
751 odtmap odt;
752 u32 mr1reg;
753
754 odt = get_ODT(ctrl, rank, channel);
Angel Pons7c49cb82020-03-16 23:17:32 +0100755 mr1reg = 2;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100756
757 mr1reg |= encode_odt(odt.rttnom);
758
759 return mr1reg;
760}
761
762static void dram_mr1(ramctr_timing *ctrl, u8 rank, int channel)
763{
764 u16 mr1reg;
765
766 mr1reg = make_mr1(ctrl, rank, channel);
767
768 write_mrreg(ctrl, channel, rank, 1, mr1reg);
769}
770
771static void dram_mr2(ramctr_timing *ctrl, u8 rank, int channel)
772{
773 u16 pasr, cwl, mr2reg;
774 odtmap odt;
775 int srt;
776
777 pasr = 0;
778 cwl = ctrl->CWL - 5;
779 odt = get_ODT(ctrl, rank, channel);
780
781 srt = ctrl->extended_temperature_range && !ctrl->auto_self_refresh;
782
783 mr2reg = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100784 mr2reg = (mr2reg & ~0x07) | pasr;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100785 mr2reg = (mr2reg & ~0x38) | (cwl << 3);
786 mr2reg = (mr2reg & ~0x40) | (ctrl->auto_self_refresh << 6);
787 mr2reg = (mr2reg & ~0x80) | (srt << 7);
788 mr2reg |= (odt.rttwr / 60) << 9;
789
790 write_mrreg(ctrl, channel, rank, 2, mr2reg);
791}
792
793static void dram_mr3(ramctr_timing *ctrl, u8 rank, int channel)
794{
795 write_mrreg(ctrl, channel, rank, 3, 0);
796}
797
Angel Pons88521882020-01-05 20:21:20 +0100798void dram_mrscommands(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100799{
800 u8 slotrank;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100801 int channel;
802
803 FOR_ALL_POPULATED_CHANNELS {
804 FOR_ALL_POPULATED_RANKS {
Angel Pons7c49cb82020-03-16 23:17:32 +0100805 /* MR2 */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100806 dram_mr2(ctrl, slotrank, channel);
807
Angel Pons7c49cb82020-03-16 23:17:32 +0100808 /* MR3 */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100809 dram_mr3(ctrl, slotrank, channel);
810
Angel Pons7c49cb82020-03-16 23:17:32 +0100811 /* MR1 */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100812 dram_mr1(ctrl, slotrank, channel);
813
Angel Pons7c49cb82020-03-16 23:17:32 +0100814 /* MR0 */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100815 dram_mr0(ctrl, slotrank, channel);
816 }
817 }
818
Angel Pons69e17142020-03-23 12:26:29 +0100819 /* DRAM command NOP (without ODT nor chip selects) */
Angel Ponsca00dec2020-05-02 15:04:00 +0200820 IOSAV_SUBSEQUENCE(BROADCAST_CH, 0,
Angel Ponsb631d072020-05-02 20:00:32 +0200821 IOSAV_NOP & ~(0xff << 8), 0,
Angel Ponsca00dec2020-05-02 15:04:00 +0200822 1, 4, 15, SSQ_NA,
823 2, 6, 0, 0,
Angel Ponsb631d072020-05-02 20:00:32 +0200824 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100825
826 /* DRAM command ZQCL */
Angel Ponsca00dec2020-05-02 15:04:00 +0200827 IOSAV_SUBSEQUENCE(BROADCAST_CH, 1,
Angel Ponsb631d072020-05-02 20:00:32 +0200828 IOSAV_ZQCS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +0200829 1, 4, 400, SSQ_NA,
830 1024, 6, 0, 0,
Angel Ponsb631d072020-05-02 20:00:32 +0200831 0, 0, 0, 1, 20, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100832
Angel Pons7c49cb82020-03-16 23:17:32 +0100833 /* Execute command queue on all channels. Do it four times. */
Angel Ponsad704002020-05-02 22:51:58 +0200834 iosav_run_queue(BROADCAST_CH, 4, 2, false);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100835
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100836 FOR_ALL_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +0100837 /* Wait for ref drained */
Angel Pons88521882020-01-05 20:21:20 +0100838 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100839 }
840
Angel Pons7c49cb82020-03-16 23:17:32 +0100841 /* Refresh enable */
Angel Pons88521882020-01-05 20:21:20 +0100842 MCHBAR32_OR(MC_INIT_STATE_G, 8);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100843
844 FOR_ALL_POPULATED_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +0100845 MCHBAR32_AND(SCHED_CBIT_ch(channel), ~0x200000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100846
Angel Pons88521882020-01-05 20:21:20 +0100847 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100848
849 slotrank = (ctrl->rankmap[channel] & 1) ? 0 : 2;
850
Angel Pons7c49cb82020-03-16 23:17:32 +0100851 /* Drain */
Angel Pons88521882020-01-05 20:21:20 +0100852 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100853
854 /* DRAM command ZQCS */
Angel Ponsca00dec2020-05-02 15:04:00 +0200855 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +0200856 IOSAV_ZQCS, 0,
Angel Pons2be59002020-05-02 22:15:03 +0200857 1, 4, 101, SSQ_NA,
Angel Ponsca00dec2020-05-02 15:04:00 +0200858 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +0200859 0, 0, 0, 0, 31, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +0200860
Angel Pons7c49cb82020-03-16 23:17:32 +0100861 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +0200862 iosav_run_once(channel, 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100863
Angel Pons7c49cb82020-03-16 23:17:32 +0100864 /* Drain */
Angel Pons88521882020-01-05 20:21:20 +0100865 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100866 }
867}
868
Felix Held3b906032020-01-14 17:05:43 +0100869static const u32 lane_base[] = {
870 LANEBASE_B0, LANEBASE_B1, LANEBASE_B2, LANEBASE_B3,
871 LANEBASE_B4, LANEBASE_B5, LANEBASE_B6, LANEBASE_B7,
872 LANEBASE_ECC
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100873};
874
Angel Pons88521882020-01-05 20:21:20 +0100875void program_timings(ramctr_timing *ctrl, int channel)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100876{
Angel Pons88521882020-01-05 20:21:20 +0100877 u32 reg32, reg_roundtrip_latency, reg_pi_code, reg_logic_delay, reg_io_latency;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100878 int lane;
879 int slotrank, slot;
880 int full_shift = 0;
Angel Pons88521882020-01-05 20:21:20 +0100881 u16 pi_coding_ctrl[NUM_SLOTS];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100882
883 FOR_ALL_POPULATED_RANKS {
Angel Pons88521882020-01-05 20:21:20 +0100884 if (full_shift < -ctrl->timings[channel][slotrank].pi_coding)
885 full_shift = -ctrl->timings[channel][slotrank].pi_coding;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100886 }
887
888 for (slot = 0; slot < NUM_SLOTS; slot++)
889 switch ((ctrl->rankmap[channel] >> (2 * slot)) & 3) {
890 case 0:
891 default:
Angel Pons88521882020-01-05 20:21:20 +0100892 pi_coding_ctrl[slot] = 0x7f;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100893 break;
894 case 1:
Angel Pons88521882020-01-05 20:21:20 +0100895 pi_coding_ctrl[slot] =
Angel Pons7c49cb82020-03-16 23:17:32 +0100896 ctrl->timings[channel][2 * slot + 0].pi_coding + full_shift;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100897 break;
898 case 2:
Angel Pons88521882020-01-05 20:21:20 +0100899 pi_coding_ctrl[slot] =
Angel Pons7c49cb82020-03-16 23:17:32 +0100900 ctrl->timings[channel][2 * slot + 1].pi_coding + full_shift;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100901 break;
902 case 3:
Angel Pons88521882020-01-05 20:21:20 +0100903 pi_coding_ctrl[slot] =
904 (ctrl->timings[channel][2 * slot].pi_coding +
Angel Pons7c49cb82020-03-16 23:17:32 +0100905 ctrl->timings[channel][2 * slot + 1].pi_coding) / 2 + full_shift;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100906 break;
907 }
908
Angel Pons7c49cb82020-03-16 23:17:32 +0100909 /* Enable CMD XOVER */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100910 reg32 = get_XOVER_CMD(ctrl->rankmap[channel]);
Angel Pons7c49cb82020-03-16 23:17:32 +0100911 reg32 |= (pi_coding_ctrl[0] & 0x3f) << 6;
912 reg32 |= (pi_coding_ctrl[0] & 0x40) << 9;
Angel Pons88521882020-01-05 20:21:20 +0100913 reg32 |= (pi_coding_ctrl[1] & 0x7f) << 18;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100914 reg32 |= (full_shift & 0x3f) | ((full_shift & 0x40) << 6);
915
Angel Pons88521882020-01-05 20:21:20 +0100916 MCHBAR32(GDCRCMDPICODING_ch(channel)) = reg32;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100917
Angel Pons7c49cb82020-03-16 23:17:32 +0100918 /* Enable CLK XOVER */
Angel Pons88521882020-01-05 20:21:20 +0100919 reg_pi_code = get_XOVER_CLK(ctrl->rankmap[channel]);
920 reg_logic_delay = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100921
922 FOR_ALL_POPULATED_RANKS {
Angel Pons7c49cb82020-03-16 23:17:32 +0100923 int shift = ctrl->timings[channel][slotrank].pi_coding + full_shift;
Angel Pons88521882020-01-05 20:21:20 +0100924 int offset_pi_code;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100925 if (shift < 0)
926 shift = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100927
Angel Pons88521882020-01-05 20:21:20 +0100928 offset_pi_code = ctrl->pi_code_offset + shift;
Angel Pons7c49cb82020-03-16 23:17:32 +0100929
930 /* Set CLK phase shift */
Angel Pons88521882020-01-05 20:21:20 +0100931 reg_pi_code |= (offset_pi_code & 0x3f) << (6 * slotrank);
932 reg_logic_delay |= ((offset_pi_code >> 6) & 1) << slotrank;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100933 }
934
Angel Pons88521882020-01-05 20:21:20 +0100935 MCHBAR32(GDCRCKPICODE_ch(channel)) = reg_pi_code;
936 MCHBAR32(GDCRCKLOGICDELAY_ch(channel)) = reg_logic_delay;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100937
Angel Pons88521882020-01-05 20:21:20 +0100938 reg_io_latency = MCHBAR32(SC_IO_LATENCY_ch(channel));
Felix Helddee167e2019-12-30 17:30:16 +0100939 reg_io_latency &= 0xffff0000;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100940
Angel Pons88521882020-01-05 20:21:20 +0100941 reg_roundtrip_latency = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100942
943 FOR_ALL_POPULATED_RANKS {
Angel Pons7c49cb82020-03-16 23:17:32 +0100944 int post_timA_min_high = 7, pre_timA_min_high = 7;
945 int post_timA_max_high = 0, pre_timA_max_high = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100946 int shift_402x = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +0100947 int shift = ctrl->timings[channel][slotrank].pi_coding + full_shift;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100948
949 if (shift < 0)
950 shift = 0;
951
952 FOR_ALL_LANES {
Arthur Heymansabc504f2017-05-15 09:36:44 +0200953 post_timA_min_high = MIN(post_timA_min_high,
954 (ctrl->timings[channel][slotrank].lanes[lane].
955 timA + shift) >> 6);
956 pre_timA_min_high = MIN(pre_timA_min_high,
957 ctrl->timings[channel][slotrank].lanes[lane].
958 timA >> 6);
959 post_timA_max_high = MAX(post_timA_max_high,
960 (ctrl->timings[channel][slotrank].lanes[lane].
961 timA + shift) >> 6);
962 pre_timA_max_high = MAX(pre_timA_max_high,
963 ctrl->timings[channel][slotrank].lanes[lane].
964 timA >> 6);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100965 }
966
967 if (pre_timA_max_high - pre_timA_min_high <
968 post_timA_max_high - post_timA_min_high)
969 shift_402x = +1;
Angel Pons7c49cb82020-03-16 23:17:32 +0100970
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100971 else if (pre_timA_max_high - pre_timA_min_high >
972 post_timA_max_high - post_timA_min_high)
973 shift_402x = -1;
974
Felix Helddee167e2019-12-30 17:30:16 +0100975 reg_io_latency |=
Felix Heldef4fe3e2019-12-31 14:15:05 +0100976 (ctrl->timings[channel][slotrank].io_latency + shift_402x -
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100977 post_timA_min_high) << (4 * slotrank);
Angel Pons7c49cb82020-03-16 23:17:32 +0100978
Angel Pons88521882020-01-05 20:21:20 +0100979 reg_roundtrip_latency |=
980 (ctrl->timings[channel][slotrank].roundtrip_latency +
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100981 shift_402x) << (8 * slotrank);
982
983 FOR_ALL_LANES {
Felix Heldfb19c8a2020-01-14 21:27:59 +0100984 MCHBAR32(lane_base[lane] + GDCRRX(channel, slotrank)) =
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100985 (((ctrl->timings[channel][slotrank].lanes[lane].
986 timA + shift) & 0x3f)
987 |
988 ((ctrl->timings[channel][slotrank].lanes[lane].
989 rising + shift) << 8)
990 |
991 (((ctrl->timings[channel][slotrank].lanes[lane].
992 timA + shift -
993 (post_timA_min_high << 6)) & 0x1c0) << 10)
994 | ((ctrl->timings[channel][slotrank].lanes[lane].
995 falling + shift) << 20));
996
Felix Heldfb19c8a2020-01-14 21:27:59 +0100997 MCHBAR32(lane_base[lane] + GDCRTX(channel, slotrank)) =
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +0100998 (((ctrl->timings[channel][slotrank].lanes[lane].
999 timC + shift) & 0x3f)
1000 |
1001 (((ctrl->timings[channel][slotrank].lanes[lane].
1002 timB + shift) & 0x3f) << 8)
1003 |
1004 (((ctrl->timings[channel][slotrank].lanes[lane].
1005 timB + shift) & 0x1c0) << 9)
1006 |
1007 (((ctrl->timings[channel][slotrank].lanes[lane].
1008 timC + shift) & 0x40) << 13));
1009 }
1010 }
Angel Pons88521882020-01-05 20:21:20 +01001011 MCHBAR32(SC_ROUNDT_LAT_ch(channel)) = reg_roundtrip_latency;
1012 MCHBAR32(SC_IO_LATENCY_ch(channel)) = reg_io_latency;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001013}
1014
Angel Pons88521882020-01-05 20:21:20 +01001015static void test_timA(ramctr_timing *ctrl, int channel, int slotrank)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001016{
Angel Pons88521882020-01-05 20:21:20 +01001017 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001018
1019 /* DRAM command MRS
Angel Pons7c49cb82020-03-16 23:17:32 +01001020 write MR3 MPR enable
1021 in this mode only RD and RDA are allowed
1022 all reads return a predefined pattern */
Angel Ponsca00dec2020-05-02 15:04:00 +02001023 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001024 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001025 1, 3, ctrl->tMOD, SSQ_NA,
1026 4, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001027 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001028
1029 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02001030 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02001031 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001032 1, 3, 4, SSQ_RD,
1033 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001034 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001035
1036 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02001037 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02001038 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001039 15, 4, ctrl->CAS + 36, SSQ_NA,
1040 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001041 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001042
1043 /* DRAM command MRS
Angel Pons7c49cb82020-03-16 23:17:32 +01001044 write MR3 MPR disable */
Angel Ponsca00dec2020-05-02 15:04:00 +02001045 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02001046 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001047 1, 3, ctrl->tMOD, SSQ_NA,
1048 0, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001049 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001050
Angel Pons7c49cb82020-03-16 23:17:32 +01001051 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001052 iosav_run_once(channel, 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001053
Angel Pons88521882020-01-05 20:21:20 +01001054 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001055}
1056
Angel Pons7c49cb82020-03-16 23:17:32 +01001057static int does_lane_work(ramctr_timing *ctrl, int channel, int slotrank, int lane)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001058{
1059 u32 timA = ctrl->timings[channel][slotrank].lanes[lane].timA;
Angel Pons7c49cb82020-03-16 23:17:32 +01001060
1061 return (MCHBAR32(lane_base[lane] +
1062 GDCRTRAININGRESULT(channel, (timA / 32) & 1)) >> (timA % 32)) & 1;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001063}
1064
1065struct run {
1066 int middle;
1067 int end;
1068 int start;
1069 int all;
1070 int length;
1071};
1072
1073static struct run get_longest_zero_run(int *seq, int sz)
1074{
1075 int i, ls;
1076 int bl = 0, bs = 0;
1077 struct run ret;
1078
1079 ls = 0;
1080 for (i = 0; i < 2 * sz; i++)
1081 if (seq[i % sz]) {
1082 if (i - ls > bl) {
1083 bl = i - ls;
1084 bs = ls;
1085 }
1086 ls = i + 1;
1087 }
1088 if (bl == 0) {
1089 ret.middle = sz / 2;
Angel Pons7c49cb82020-03-16 23:17:32 +01001090 ret.start = 0;
1091 ret.end = sz;
Jacob Garbere0c181d2019-04-08 22:21:43 -06001092 ret.length = sz;
Angel Pons7c49cb82020-03-16 23:17:32 +01001093 ret.all = 1;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001094 return ret;
1095 }
1096
Angel Pons7c49cb82020-03-16 23:17:32 +01001097 ret.start = bs % sz;
1098 ret.end = (bs + bl - 1) % sz;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001099 ret.middle = (bs + (bl - 1) / 2) % sz;
1100 ret.length = bl;
Angel Pons7c49cb82020-03-16 23:17:32 +01001101 ret.all = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001102
1103 return ret;
1104}
1105
Angel Pons7c49cb82020-03-16 23:17:32 +01001106static void discover_timA_coarse(ramctr_timing *ctrl, int channel, int slotrank, int *upperA)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001107{
1108 int timA;
1109 int statistics[NUM_LANES][128];
1110 int lane;
1111
1112 for (timA = 0; timA < 128; timA++) {
1113 FOR_ALL_LANES {
1114 ctrl->timings[channel][slotrank].lanes[lane].timA = timA;
1115 }
1116 program_timings(ctrl, channel);
1117
1118 test_timA(ctrl, channel, slotrank);
1119
1120 FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01001121 statistics[lane][timA] = !does_lane_work(ctrl, channel, slotrank, lane);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001122 }
1123 }
1124 FOR_ALL_LANES {
1125 struct run rn = get_longest_zero_run(statistics[lane], 128);
1126 ctrl->timings[channel][slotrank].lanes[lane].timA = rn.middle;
1127 upperA[lane] = rn.end;
1128 if (upperA[lane] < rn.middle)
1129 upperA[lane] += 128;
Angel Pons7c49cb82020-03-16 23:17:32 +01001130
Patrick Rudolph368b6152016-11-25 16:36:52 +01001131 printram("timA: %d, %d, %d: 0x%02x-0x%02x-0x%02x\n",
Felix Held2bb3cdf2018-07-28 00:23:59 +02001132 channel, slotrank, lane, rn.start, rn.middle, rn.end);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001133 }
1134}
1135
Angel Pons7c49cb82020-03-16 23:17:32 +01001136static void discover_timA_fine(ramctr_timing *ctrl, int channel, int slotrank, int *upperA)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001137{
1138 int timA_delta;
1139 int statistics[NUM_LANES][51];
1140 int lane, i;
1141
1142 memset(statistics, 0, sizeof(statistics));
1143
1144 for (timA_delta = -25; timA_delta <= 25; timA_delta++) {
Angel Pons7c49cb82020-03-16 23:17:32 +01001145
1146 FOR_ALL_LANES {
1147 ctrl->timings[channel][slotrank].lanes[lane].timA
1148 = upperA[lane] + timA_delta + 0x40;
1149 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001150 program_timings(ctrl, channel);
1151
1152 for (i = 0; i < 100; i++) {
1153 test_timA(ctrl, channel, slotrank);
1154 FOR_ALL_LANES {
1155 statistics[lane][timA_delta + 25] +=
Angel Pons7c49cb82020-03-16 23:17:32 +01001156 does_lane_work(ctrl, channel, slotrank, lane);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001157 }
1158 }
1159 }
1160 FOR_ALL_LANES {
1161 int last_zero, first_all;
1162
1163 for (last_zero = -25; last_zero <= 25; last_zero++)
1164 if (statistics[lane][last_zero + 25])
1165 break;
Angel Pons7c49cb82020-03-16 23:17:32 +01001166
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001167 last_zero--;
1168 for (first_all = -25; first_all <= 25; first_all++)
1169 if (statistics[lane][first_all + 25] == 100)
1170 break;
1171
Angel Pons7c49cb82020-03-16 23:17:32 +01001172 printram("lane %d: %d, %d\n", lane, last_zero, first_all);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001173
1174 ctrl->timings[channel][slotrank].lanes[lane].timA =
Angel Pons7c49cb82020-03-16 23:17:32 +01001175 (last_zero + first_all) / 2 + upperA[lane];
1176
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001177 printram("Aval: %d, %d, %d: %x\n", channel, slotrank,
Angel Pons7c49cb82020-03-16 23:17:32 +01001178 lane, ctrl->timings[channel][slotrank].lanes[lane].timA);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001179 }
1180}
1181
Angel Pons891f2bc2020-01-10 01:27:28 +01001182static int discover_402x(ramctr_timing *ctrl, int channel, int slotrank, int *upperA)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001183{
1184 int works[NUM_LANES];
1185 int lane;
Angel Pons7c49cb82020-03-16 23:17:32 +01001186
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001187 while (1) {
1188 int all_works = 1, some_works = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +01001189
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001190 program_timings(ctrl, channel);
1191 test_timA(ctrl, channel, slotrank);
Angel Pons7c49cb82020-03-16 23:17:32 +01001192
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001193 FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01001194 works[lane] = !does_lane_work(ctrl, channel, slotrank, lane);
1195
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001196 if (works[lane])
1197 some_works = 1;
1198 else
1199 all_works = 0;
1200 }
1201 if (all_works)
1202 return 0;
Angel Pons7c49cb82020-03-16 23:17:32 +01001203
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001204 if (!some_works) {
Angel Pons88521882020-01-05 20:21:20 +01001205 if (ctrl->timings[channel][slotrank].roundtrip_latency < 2) {
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001206 printk(BIOS_EMERG, "402x discovery failed (1): %d, %d\n",
1207 channel, slotrank);
1208 return MAKE_ERR;
1209 }
Angel Pons88521882020-01-05 20:21:20 +01001210 ctrl->timings[channel][slotrank].roundtrip_latency -= 2;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001211 printram("4024 -= 2;\n");
1212 continue;
1213 }
Felix Heldef4fe3e2019-12-31 14:15:05 +01001214 ctrl->timings[channel][slotrank].io_latency += 2;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001215 printram("4028 += 2;\n");
Angel Pons7c49cb82020-03-16 23:17:32 +01001216
Felix Heldef4fe3e2019-12-31 14:15:05 +01001217 if (ctrl->timings[channel][slotrank].io_latency >= 0x10) {
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001218 printk(BIOS_EMERG, "402x discovery failed (2): %d, %d\n",
1219 channel, slotrank);
1220 return MAKE_ERR;
1221 }
1222 FOR_ALL_LANES if (works[lane]) {
Angel Pons891f2bc2020-01-10 01:27:28 +01001223 ctrl->timings[channel][slotrank].lanes[lane].timA += 128;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001224 upperA[lane] += 128;
Angel Pons891f2bc2020-01-10 01:27:28 +01001225 printram("increment %d, %d, %d\n", channel, slotrank, lane);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001226 }
1227 }
1228 return 0;
1229}
1230
1231struct timA_minmax {
1232 int timA_min_high, timA_max_high;
1233};
1234
Angel Pons88521882020-01-05 20:21:20 +01001235static void pre_timA_change(ramctr_timing *ctrl, int channel, int slotrank,
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001236 struct timA_minmax *mnmx)
1237{
1238 int lane;
1239 mnmx->timA_min_high = 7;
1240 mnmx->timA_max_high = 0;
1241
1242 FOR_ALL_LANES {
1243 if (mnmx->timA_min_high >
1244 (ctrl->timings[channel][slotrank].lanes[lane].timA >> 6))
1245 mnmx->timA_min_high =
Angel Pons891f2bc2020-01-10 01:27:28 +01001246 (ctrl->timings[channel][slotrank].lanes[lane].timA >> 6);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001247 if (mnmx->timA_max_high <
1248 (ctrl->timings[channel][slotrank].lanes[lane].timA >> 6))
1249 mnmx->timA_max_high =
Angel Pons891f2bc2020-01-10 01:27:28 +01001250 (ctrl->timings[channel][slotrank].lanes[lane].timA >> 6);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001251 }
1252}
1253
Angel Pons88521882020-01-05 20:21:20 +01001254static void post_timA_change(ramctr_timing *ctrl, int channel, int slotrank,
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001255 struct timA_minmax *mnmx)
1256{
1257 struct timA_minmax post;
1258 int shift_402x = 0;
1259
Angel Pons7c49cb82020-03-16 23:17:32 +01001260 /* Get changed maxima */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001261 pre_timA_change(ctrl, channel, slotrank, &post);
1262
1263 if (mnmx->timA_max_high - mnmx->timA_min_high <
1264 post.timA_max_high - post.timA_min_high)
1265 shift_402x = +1;
Angel Pons7c49cb82020-03-16 23:17:32 +01001266
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001267 else if (mnmx->timA_max_high - mnmx->timA_min_high >
1268 post.timA_max_high - post.timA_min_high)
1269 shift_402x = -1;
Angel Pons7c49cb82020-03-16 23:17:32 +01001270
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001271 else
1272 shift_402x = 0;
1273
Felix Heldef4fe3e2019-12-31 14:15:05 +01001274 ctrl->timings[channel][slotrank].io_latency += shift_402x;
Angel Pons88521882020-01-05 20:21:20 +01001275 ctrl->timings[channel][slotrank].roundtrip_latency += shift_402x;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001276 printram("4024 += %d;\n", shift_402x);
1277 printram("4028 += %d;\n", shift_402x);
1278}
1279
Angel Pons7c49cb82020-03-16 23:17:32 +01001280/*
1281 * Compensate the skew between DQS and DQs.
1282 *
Angel Pons891f2bc2020-01-10 01:27:28 +01001283 * To ease PCB design, a small skew between Data Strobe signals and Data Signals is allowed.
1284 * The controller has to measure and compensate this skew for every byte-lane. By delaying
Angel Pons7c49cb82020-03-16 23:17:32 +01001285 * either all DQ signals or DQS signal, a full phase shift can be introduced. It is assumed
Angel Pons891f2bc2020-01-10 01:27:28 +01001286 * that one byte-lane's DQs signals have the same routing delay.
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001287 *
Angel Pons891f2bc2020-01-10 01:27:28 +01001288 * To measure the actual skew, the DRAM is placed in "read leveling" mode. In read leveling
1289 * mode the DRAM-chip outputs an alternating periodic pattern. The memory controller iterates
1290 * over all possible values to do a full phase shift and issues read commands. With DQS and
Angel Pons7c49cb82020-03-16 23:17:32 +01001291 * DQ in phase the data being read is expected to alternate on every byte:
1292 *
Angel Pons891f2bc2020-01-10 01:27:28 +01001293 * 0xFF 0x00 0xFF ...
Angel Pons7c49cb82020-03-16 23:17:32 +01001294 *
Angel Pons891f2bc2020-01-10 01:27:28 +01001295 * Once the controller has detected this pattern a bit in the result register is set for the
1296 * current phase shift.
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001297 */
Angel Pons88521882020-01-05 20:21:20 +01001298int read_training(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001299{
1300 int channel, slotrank, lane;
1301 int err;
1302
1303 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
1304 int all_high, some_high;
1305 int upperA[NUM_LANES];
1306 struct timA_minmax mnmx;
1307
Angel Pons88521882020-01-05 20:21:20 +01001308 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001309
Felix Held2bb3cdf2018-07-28 00:23:59 +02001310 /* DRAM command PREA */
Angel Ponsca00dec2020-05-02 15:04:00 +02001311 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001312 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001313 1, 3, ctrl->tRP, SSQ_NA,
1314 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001315 0, 0, 0, 0, 0, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02001316
Angel Pons7c49cb82020-03-16 23:17:32 +01001317 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001318 iosav_run_once(channel, 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001319
Angel Pons88521882020-01-05 20:21:20 +01001320 MCHBAR32(GDCRTRAININGMOD) = (slotrank << 2) | 0x8001;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001321
Felix Heldef4fe3e2019-12-31 14:15:05 +01001322 ctrl->timings[channel][slotrank].io_latency = 4;
Angel Pons88521882020-01-05 20:21:20 +01001323 ctrl->timings[channel][slotrank].roundtrip_latency = 55;
Felix Held2bb3cdf2018-07-28 00:23:59 +02001324 program_timings(ctrl, channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001325
Felix Held2bb3cdf2018-07-28 00:23:59 +02001326 discover_timA_coarse(ctrl, channel, slotrank, upperA);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001327
Felix Held2bb3cdf2018-07-28 00:23:59 +02001328 all_high = 1;
1329 some_high = 0;
1330 FOR_ALL_LANES {
Angel Pons891f2bc2020-01-10 01:27:28 +01001331 if (ctrl->timings[channel][slotrank].lanes[lane].timA >= 0x40)
Felix Held2bb3cdf2018-07-28 00:23:59 +02001332 some_high = 1;
1333 else
1334 all_high = 0;
1335 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001336
1337 if (all_high) {
Felix Heldef4fe3e2019-12-31 14:15:05 +01001338 ctrl->timings[channel][slotrank].io_latency--;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001339 printram("4028--;\n");
1340 FOR_ALL_LANES {
Angel Pons891f2bc2020-01-10 01:27:28 +01001341 ctrl->timings[channel][slotrank].lanes[lane].timA -= 0x40;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001342 upperA[lane] -= 0x40;
1343
1344 }
1345 } else if (some_high) {
Angel Pons88521882020-01-05 20:21:20 +01001346 ctrl->timings[channel][slotrank].roundtrip_latency++;
Felix Heldef4fe3e2019-12-31 14:15:05 +01001347 ctrl->timings[channel][slotrank].io_latency++;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001348 printram("4024++;\n");
1349 printram("4028++;\n");
1350 }
1351
1352 program_timings(ctrl, channel);
1353
1354 pre_timA_change(ctrl, channel, slotrank, &mnmx);
1355
1356 err = discover_402x(ctrl, channel, slotrank, upperA);
1357 if (err)
1358 return err;
1359
1360 post_timA_change(ctrl, channel, slotrank, &mnmx);
1361 pre_timA_change(ctrl, channel, slotrank, &mnmx);
1362
1363 discover_timA_fine(ctrl, channel, slotrank, upperA);
1364
1365 post_timA_change(ctrl, channel, slotrank, &mnmx);
1366 pre_timA_change(ctrl, channel, slotrank, &mnmx);
1367
1368 FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01001369 ctrl->timings[channel][slotrank].lanes[lane].timA -=
1370 mnmx.timA_min_high * 0x40;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001371 }
Felix Heldef4fe3e2019-12-31 14:15:05 +01001372 ctrl->timings[channel][slotrank].io_latency -= mnmx.timA_min_high;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001373 printram("4028 -= %d;\n", mnmx.timA_min_high);
1374
1375 post_timA_change(ctrl, channel, slotrank, &mnmx);
1376
1377 printram("4/8: %d, %d, %x, %x\n", channel, slotrank,
Angel Pons88521882020-01-05 20:21:20 +01001378 ctrl->timings[channel][slotrank].roundtrip_latency,
Felix Heldef4fe3e2019-12-31 14:15:05 +01001379 ctrl->timings[channel][slotrank].io_latency);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001380
1381 printram("final results:\n");
1382 FOR_ALL_LANES
Angel Pons7c49cb82020-03-16 23:17:32 +01001383 printram("Aval: %d, %d, %d: %x\n", channel, slotrank, lane,
Felix Held2bb3cdf2018-07-28 00:23:59 +02001384 ctrl->timings[channel][slotrank].lanes[lane].timA);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001385
Angel Pons88521882020-01-05 20:21:20 +01001386 MCHBAR32(GDCRTRAININGMOD) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001387
1388 toggle_io_reset();
1389 }
1390
1391 FOR_ALL_POPULATED_CHANNELS {
1392 program_timings(ctrl, channel);
1393 }
1394 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01001395 MCHBAR32(IOSAV_By_BW_MASK_ch(channel, lane)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001396 }
1397 return 0;
1398}
1399
Angel Pons88521882020-01-05 20:21:20 +01001400static void test_timC(ramctr_timing *ctrl, int channel, int slotrank)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001401{
1402 int lane;
1403
1404 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01001405 MCHBAR32(IOSAV_By_ERROR_COUNT_ch(channel, lane)) = 0;
1406 MCHBAR32(IOSAV_By_BW_SERROR_C_ch(channel, lane));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001407 }
1408
Angel Pons88521882020-01-05 20:21:20 +01001409 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001410
1411 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02001412 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001413 IOSAV_ACT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001414 4, MAX(ctrl->tRRD, (ctrl->tFAW >> 2) + 1), ctrl->tRCD, SSQ_NA,
1415 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001416 0, 0, 1, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001417
1418 /* DRAM command NOP */
Angel Ponsca00dec2020-05-02 15:04:00 +02001419 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02001420 IOSAV_NOP, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001421 1, 4, 4, SSQ_WR,
1422 8, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001423 0, 0, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001424
1425 /* DRAM command WR */
Angel Ponsca00dec2020-05-02 15:04:00 +02001426 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02001427 IOSAV_WR, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001428 500, 4, 4, SSQ_WR,
1429 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001430 0, 1, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001431
1432 /* DRAM command NOP */
Angel Ponsca00dec2020-05-02 15:04:00 +02001433 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02001434 IOSAV_NOP, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001435 1, 3, ctrl->CWL + ctrl->tWTR + 5, SSQ_WR,
1436 8, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001437 0, 0, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001438
Angel Pons7c49cb82020-03-16 23:17:32 +01001439 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001440 iosav_run_once(channel, 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001441
Angel Pons88521882020-01-05 20:21:20 +01001442 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001443
1444 /* DRAM command PREA */
Angel Ponsca00dec2020-05-02 15:04:00 +02001445 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001446 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001447 1, 3, ctrl->tRP, SSQ_NA,
1448 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001449 0, 0, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001450
1451 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02001452 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02001453 IOSAV_ACT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001454 8, MAX(ctrl->tRRD, (ctrl->tFAW >> 2) + 1), ctrl->CAS, SSQ_NA,
1455 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001456 0, 0, 1, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001457
1458 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02001459 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02001460 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001461 500, 4, MAX(ctrl->tRTP, 8), SSQ_RD,
1462 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001463 0, 1, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001464
1465 /* DRAM command PREA */
Angel Ponsca00dec2020-05-02 15:04:00 +02001466 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02001467 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001468 1, 3, ctrl->tRP, SSQ_NA,
1469 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001470 0, 0, 0, 0, 18, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02001471
Angel Pons7c49cb82020-03-16 23:17:32 +01001472 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001473 iosav_run_once(channel, 4);
Felix Held9cf1dd22018-07-31 14:52:40 +02001474
Angel Pons88521882020-01-05 20:21:20 +01001475 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001476}
1477
Tobias Diedrich87c4f112017-12-07 22:40:20 +01001478static void timC_threshold_process(int *data, const int count)
1479{
1480 int min = data[0];
1481 int max = min;
1482 int i;
1483 for (i = 1; i < count; i++) {
1484 if (min > data[i])
1485 min = data[i];
Angel Pons7c49cb82020-03-16 23:17:32 +01001486
Tobias Diedrich87c4f112017-12-07 22:40:20 +01001487 if (max < data[i])
1488 max = data[i];
1489 }
Angel Pons7c49cb82020-03-16 23:17:32 +01001490 int threshold = min / 2 + max / 2;
Tobias Diedrich87c4f112017-12-07 22:40:20 +01001491 for (i = 0; i < count; i++)
1492 data[i] = data[i] > threshold;
Angel Pons7c49cb82020-03-16 23:17:32 +01001493
Angel Pons891f2bc2020-01-10 01:27:28 +01001494 printram("threshold=%d min=%d max=%d\n", threshold, min, max);
Tobias Diedrich87c4f112017-12-07 22:40:20 +01001495}
1496
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001497static int discover_timC(ramctr_timing *ctrl, int channel, int slotrank)
1498{
1499 int timC;
Angel Pons7c49cb82020-03-16 23:17:32 +01001500 int stats[NUM_LANES][MAX_TIMC + 1];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001501 int lane;
1502
Angel Pons88521882020-01-05 20:21:20 +01001503 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001504
1505 /* DRAM command PREA */
Angel Ponsca00dec2020-05-02 15:04:00 +02001506 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001507 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001508 1, 3, ctrl->tRP, SSQ_NA,
1509 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001510 0, 0, 0, 0, 18, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02001511
Angel Pons7c49cb82020-03-16 23:17:32 +01001512 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001513 iosav_run_once(channel, 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001514
1515 for (timC = 0; timC <= MAX_TIMC; timC++) {
Angel Pons891f2bc2020-01-10 01:27:28 +01001516 FOR_ALL_LANES ctrl->timings[channel][slotrank].lanes[lane].timC = timC;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001517 program_timings(ctrl, channel);
1518
1519 test_timC(ctrl, channel, slotrank);
1520
1521 FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01001522 stats[lane][timC] = MCHBAR32(IOSAV_By_ERROR_COUNT_ch(channel, lane));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001523 }
1524 }
1525 FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01001526 struct run rn = get_longest_zero_run(stats[lane], ARRAY_SIZE(stats[lane]));
1527
Tobias Diedrich87c4f112017-12-07 22:40:20 +01001528 if (rn.all || rn.length < 8) {
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001529 printk(BIOS_EMERG, "timC discovery failed: %d, %d, %d\n",
1530 channel, slotrank, lane);
Angel Pons7c49cb82020-03-16 23:17:32 +01001531 /*
1532 * With command training not being done yet, the lane can be erroneous.
1533 * Take the average as reference and try again to find a run.
Tobias Diedrich87c4f112017-12-07 22:40:20 +01001534 */
Angel Pons7c49cb82020-03-16 23:17:32 +01001535 timC_threshold_process(stats[lane], ARRAY_SIZE(stats[lane]));
1536 rn = get_longest_zero_run(stats[lane], ARRAY_SIZE(stats[lane]));
1537
Tobias Diedrich87c4f112017-12-07 22:40:20 +01001538 if (rn.all || rn.length < 8) {
1539 printk(BIOS_EMERG, "timC recovery failed\n");
1540 return MAKE_ERR;
1541 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001542 }
Tobias Diedrich87c4f112017-12-07 22:40:20 +01001543 ctrl->timings[channel][slotrank].lanes[lane].timC = rn.middle;
Patrick Rudolph368b6152016-11-25 16:36:52 +01001544 printram("timC: %d, %d, %d: 0x%02x-0x%02x-0x%02x\n",
Felix Held2bb3cdf2018-07-28 00:23:59 +02001545 channel, slotrank, lane, rn.start, rn.middle, rn.end);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001546 }
1547 return 0;
1548}
1549
Angel Pons88521882020-01-05 20:21:20 +01001550static int get_precedening_channels(ramctr_timing *ctrl, int target_channel)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001551{
1552 int channel, ret = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +01001553
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001554 FOR_ALL_POPULATED_CHANNELS if (channel < target_channel)
1555 ret++;
Angel Pons7c49cb82020-03-16 23:17:32 +01001556
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001557 return ret;
1558}
1559
Angel Pons88521882020-01-05 20:21:20 +01001560static void fill_pattern0(ramctr_timing *ctrl, int channel, u32 a, u32 b)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001561{
Subrata Banikb1434fc2019-03-15 22:20:41 +05301562 unsigned int j;
Angel Pons891f2bc2020-01-10 01:27:28 +01001563 unsigned int channel_offset = get_precedening_channels(ctrl, channel) * 0x40;
Angel Pons7c49cb82020-03-16 23:17:32 +01001564
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001565 for (j = 0; j < 16; j++)
1566 write32((void *)(0x04000000 + channel_offset + 4 * j), j & 2 ? b : a);
Angel Pons7c49cb82020-03-16 23:17:32 +01001567
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001568 sfence();
1569}
1570
Angel Pons88521882020-01-05 20:21:20 +01001571static int num_of_channels(const ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001572{
1573 int ret = 0;
1574 int channel;
1575 FOR_ALL_POPULATED_CHANNELS ret++;
1576 return ret;
1577}
1578
Angel Pons88521882020-01-05 20:21:20 +01001579static void fill_pattern1(ramctr_timing *ctrl, int channel)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001580{
Subrata Banikb1434fc2019-03-15 22:20:41 +05301581 unsigned int j;
Angel Pons891f2bc2020-01-10 01:27:28 +01001582 unsigned int channel_offset = get_precedening_channels(ctrl, channel) * 0x40;
Subrata Banikb1434fc2019-03-15 22:20:41 +05301583 unsigned int channel_step = 0x40 * num_of_channels(ctrl);
Angel Pons7c49cb82020-03-16 23:17:32 +01001584
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001585 for (j = 0; j < 16; j++)
1586 write32((void *)(0x04000000 + channel_offset + j * 4), 0xffffffff);
Angel Pons7c49cb82020-03-16 23:17:32 +01001587
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001588 for (j = 0; j < 16; j++)
1589 write32((void *)(0x04000000 + channel_offset + channel_step + j * 4), 0);
Angel Pons7c49cb82020-03-16 23:17:32 +01001590
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001591 sfence();
1592}
1593
Angel Pons88521882020-01-05 20:21:20 +01001594static void precharge(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001595{
1596 int channel, slotrank, lane;
1597
1598 FOR_ALL_POPULATED_CHANNELS {
1599 FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons891f2bc2020-01-10 01:27:28 +01001600 ctrl->timings[channel][slotrank].lanes[lane].falling = 16;
1601 ctrl->timings[channel][slotrank].lanes[lane].rising = 16;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001602 }
1603
1604 program_timings(ctrl, channel);
1605
1606 FOR_ALL_POPULATED_RANKS {
Angel Pons88521882020-01-05 20:21:20 +01001607 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001608
1609 /* DRAM command MRS
Angel Pons7c49cb82020-03-16 23:17:32 +01001610 write MR3 MPR enable
1611 in this mode only RD and RDA are allowed
1612 all reads return a predefined pattern */
Angel Ponsca00dec2020-05-02 15:04:00 +02001613 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001614 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001615 1, 3, ctrl->tMOD, SSQ_NA,
1616 4, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001617 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001618
1619 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02001620 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02001621 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001622 3, 4, 4, SSQ_RD,
1623 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001624 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001625
1626 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02001627 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02001628 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001629 1, 4, ctrl->CAS + 8, SSQ_NA,
1630 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001631 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001632
1633 /* DRAM command MRS
1634 * write MR3 MPR disable */
Angel Ponsca00dec2020-05-02 15:04:00 +02001635 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02001636 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001637 1, 3, ctrl->tMOD, SSQ_NA,
1638 0, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001639 0, 0, 0, 0, 0, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02001640
Angel Pons7c49cb82020-03-16 23:17:32 +01001641 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001642 iosav_run_once(channel, 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001643
Angel Pons88521882020-01-05 20:21:20 +01001644 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001645 }
1646
1647 FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons891f2bc2020-01-10 01:27:28 +01001648 ctrl->timings[channel][slotrank].lanes[lane].falling = 48;
1649 ctrl->timings[channel][slotrank].lanes[lane].rising = 48;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001650 }
1651
1652 program_timings(ctrl, channel);
1653
1654 FOR_ALL_POPULATED_RANKS {
Angel Pons88521882020-01-05 20:21:20 +01001655 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001656 /* DRAM command MRS
1657 * write MR3 MPR enable
1658 * in this mode only RD and RDA are allowed
1659 * all reads return a predefined pattern */
Angel Ponsca00dec2020-05-02 15:04:00 +02001660 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001661 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001662 1, 3, ctrl->tMOD, SSQ_NA,
1663 4, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001664 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001665
1666 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02001667 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02001668 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001669 3, 4, 4, SSQ_RD,
1670 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001671 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001672
1673 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02001674 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02001675 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001676 1, 4, ctrl->CAS + 8, SSQ_NA,
1677 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001678 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001679
1680 /* DRAM command MRS
1681 * write MR3 MPR disable */
Angel Ponsca00dec2020-05-02 15:04:00 +02001682 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02001683 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001684 1, 3, ctrl->tMOD, SSQ_NA,
1685 0, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001686 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001687
Angel Pons7c49cb82020-03-16 23:17:32 +01001688 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001689 iosav_run_once(channel, 4);
Felix Held9cf1dd22018-07-31 14:52:40 +02001690
Angel Pons88521882020-01-05 20:21:20 +01001691 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001692 }
1693 }
1694}
1695
Angel Pons88521882020-01-05 20:21:20 +01001696static void test_timB(ramctr_timing *ctrl, int channel, int slotrank)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001697{
1698 /* enable DQs on this slotrank */
Angel Pons891f2bc2020-01-10 01:27:28 +01001699 write_mrreg(ctrl, channel, slotrank, 1, 0x80 | make_mr1(ctrl, slotrank, channel));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001700
Angel Pons88521882020-01-05 20:21:20 +01001701 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001702 /* DRAM command NOP */
Angel Ponsca00dec2020-05-02 15:04:00 +02001703 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001704 IOSAV_NOP, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001705 1, 3, ctrl->CWL + ctrl->tWLO, SSQ_WR,
1706 8, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001707 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001708
1709 /* DRAM command NOP */
Angel Ponsca00dec2020-05-02 15:04:00 +02001710 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02001711 IOSAV_NOP_ALT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001712 1, 3, ctrl->CAS + 38, SSQ_RD,
1713 4, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001714 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001715
Angel Pons7c49cb82020-03-16 23:17:32 +01001716 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001717 iosav_run_once(channel, 2);
Felix Held9cf1dd22018-07-31 14:52:40 +02001718
Angel Pons88521882020-01-05 20:21:20 +01001719 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001720
1721 /* disable DQs on this slotrank */
Angel Pons891f2bc2020-01-10 01:27:28 +01001722 write_mrreg(ctrl, channel, slotrank, 1, 0x1080 | make_mr1(ctrl, slotrank, channel));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001723}
1724
1725static int discover_timB(ramctr_timing *ctrl, int channel, int slotrank)
1726{
1727 int timB;
1728 int statistics[NUM_LANES][128];
1729 int lane;
1730
Angel Pons88521882020-01-05 20:21:20 +01001731 MCHBAR32(GDCRTRAININGMOD) = 0x108052 | (slotrank << 2);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001732
1733 for (timB = 0; timB < 128; timB++) {
1734 FOR_ALL_LANES {
1735 ctrl->timings[channel][slotrank].lanes[lane].timB = timB;
1736 }
1737 program_timings(ctrl, channel);
1738
1739 test_timB(ctrl, channel, slotrank);
1740
1741 FOR_ALL_LANES {
Felix Heldfb19c8a2020-01-14 21:27:59 +01001742 statistics[lane][timB] = !((MCHBAR32(lane_base[lane] +
1743 GDCRTRAININGRESULT(channel, (timB / 32) & 1)) >>
1744 (timB % 32)) & 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001745 }
1746 }
1747 FOR_ALL_LANES {
1748 struct run rn = get_longest_zero_run(statistics[lane], 128);
Angel Pons7c49cb82020-03-16 23:17:32 +01001749 /*
1750 * timC is a direct function of timB's 6 LSBs. Some tests increments the value
1751 * of timB by a small value, which might cause the 6-bit value to overflow if
1752 * it's close to 0x3f. Increment the value by a small offset if it's likely
1753 * to overflow, to make sure it won't overflow while running tests and bricks
1754 * the system due to a non matching timC.
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001755 *
Angel Pons7c49cb82020-03-16 23:17:32 +01001756 * TODO: find out why some tests (edge write discovery) increment timB.
1757 */
1758 if ((rn.start & 0x3f) == 0x3e)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001759 rn.start += 2;
Angel Pons7c49cb82020-03-16 23:17:32 +01001760 else if ((rn.start & 0x3f) == 0x3f)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001761 rn.start += 1;
Angel Pons7c49cb82020-03-16 23:17:32 +01001762
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001763 ctrl->timings[channel][slotrank].lanes[lane].timB = rn.start;
1764 if (rn.all) {
1765 printk(BIOS_EMERG, "timB discovery failed: %d, %d, %d\n",
1766 channel, slotrank, lane);
Angel Pons7c49cb82020-03-16 23:17:32 +01001767
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001768 return MAKE_ERR;
1769 }
Patrick Rudolph368b6152016-11-25 16:36:52 +01001770 printram("timB: %d, %d, %d: 0x%02x-0x%02x-0x%02x\n",
1771 channel, slotrank, lane, rn.start, rn.middle, rn.end);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001772 }
1773 return 0;
1774}
1775
1776static int get_timB_high_adjust(u64 val)
1777{
1778 int i;
1779
1780 /* good */
1781 if (val == 0xffffffffffffffffLL)
1782 return 0;
1783
1784 if (val >= 0xf000000000000000LL) {
1785 /* needs negative adjustment */
1786 for (i = 0; i < 8; i++)
1787 if (val << (8 * (7 - i) + 4))
1788 return -i;
1789 } else {
1790 /* needs positive adjustment */
1791 for (i = 0; i < 8; i++)
1792 if (val >> (8 * (7 - i) + 4))
1793 return i;
1794 }
1795 return 8;
1796}
1797
Angel Pons88521882020-01-05 20:21:20 +01001798static void adjust_high_timB(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001799{
1800 int channel, slotrank, lane, old;
Angel Pons88521882020-01-05 20:21:20 +01001801 MCHBAR32(GDCRTRAININGMOD) = 0x200;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001802 FOR_ALL_POPULATED_CHANNELS {
1803 fill_pattern1(ctrl, channel);
Angel Pons88521882020-01-05 20:21:20 +01001804 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 1;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001805 }
1806 FOR_ALL_POPULATED_CHANNELS FOR_ALL_POPULATED_RANKS {
1807
Angel Pons88521882020-01-05 20:21:20 +01001808 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0x10001;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001809
Angel Pons88521882020-01-05 20:21:20 +01001810 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001811
1812 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02001813 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001814 IOSAV_ACT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001815 1, 3, ctrl->tRCD, SSQ_NA,
1816 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001817 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001818
1819 /* DRAM command NOP */
Angel Ponsca00dec2020-05-02 15:04:00 +02001820 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02001821 IOSAV_NOP, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001822 1, 3, 4, SSQ_WR,
1823 8, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001824 0, 0, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001825
1826 /* DRAM command WR */
Angel Ponsca00dec2020-05-02 15:04:00 +02001827 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02001828 IOSAV_WR, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001829 3, 4, 4, SSQ_WR,
1830 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001831 0, 1, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001832
1833 /* DRAM command NOP */
Angel Ponsca00dec2020-05-02 15:04:00 +02001834 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02001835 IOSAV_NOP, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001836 1, 3, ctrl->CWL + ctrl->tWTR + 5, SSQ_WR,
1837 8, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001838 0, 0, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001839
Angel Pons7c49cb82020-03-16 23:17:32 +01001840 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001841 iosav_run_once(channel, 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001842
Angel Pons88521882020-01-05 20:21:20 +01001843 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001844
1845 /* DRAM command PREA */
Angel Ponsca00dec2020-05-02 15:04:00 +02001846 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001847 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001848 1, 3, ctrl->tRP, SSQ_NA,
1849 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001850 0, 0, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001851
1852 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02001853 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02001854 IOSAV_ACT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02001855 1, 3, ctrl->tRCD, SSQ_NA,
1856 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001857 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001858
1859 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02001860 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02001861 IOSAV_RD, 3,
Angel Ponsca00dec2020-05-02 15:04:00 +02001862 1, 3, ctrl->tRP +
1863 ctrl->timings[channel][slotrank].roundtrip_latency +
1864 ctrl->timings[channel][slotrank].io_latency, SSQ_RD,
1865 8, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001866 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001867
Angel Pons7c49cb82020-03-16 23:17:32 +01001868 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001869 iosav_run_once(channel, 3);
Felix Held9cf1dd22018-07-31 14:52:40 +02001870
Angel Pons88521882020-01-05 20:21:20 +01001871 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001872 FOR_ALL_LANES {
Felix Heldfb19c8a2020-01-14 21:27:59 +01001873 u64 res = MCHBAR32(lane_base[lane] + GDCRTRAININGRESULT1(channel));
Felix Held283b44662020-01-14 21:14:42 +01001874 res |= ((u64) MCHBAR32(lane_base[lane] +
Felix Heldfb19c8a2020-01-14 21:27:59 +01001875 GDCRTRAININGRESULT2(channel))) << 32;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001876 old = ctrl->timings[channel][slotrank].lanes[lane].timB;
1877 ctrl->timings[channel][slotrank].lanes[lane].timB +=
1878 get_timB_high_adjust(res) * 64;
1879
1880 printram("High adjust %d:%016llx\n", lane, res);
Angel Pons891f2bc2020-01-10 01:27:28 +01001881 printram("Bval+: %d, %d, %d, %x -> %x\n", channel, slotrank, lane,
1882 old, ctrl->timings[channel][slotrank].lanes[lane].timB);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001883 }
1884 }
Angel Pons88521882020-01-05 20:21:20 +01001885 MCHBAR32(GDCRTRAININGMOD) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001886}
1887
Angel Pons88521882020-01-05 20:21:20 +01001888static void write_op(ramctr_timing *ctrl, int channel)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001889{
1890 int slotrank;
1891
Angel Pons88521882020-01-05 20:21:20 +01001892 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001893
1894 /* choose an existing rank. */
1895 slotrank = !(ctrl->rankmap[channel] & 1) ? 2 : 0;
1896
Angel Pons69e17142020-03-23 12:26:29 +01001897 /* DRAM command ZQCS */
Angel Ponsca00dec2020-05-02 15:04:00 +02001898 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001899 IOSAV_ZQCS, 0,
Angel Ponsca00dec2020-05-02 15:04:00 +02001900 1, 4, 4, SSQ_NA,
1901 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02001902 0, 0, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001903
Angel Pons7c49cb82020-03-16 23:17:32 +01001904 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001905 iosav_run_once(channel, 1);
Felix Held9cf1dd22018-07-31 14:52:40 +02001906
Angel Pons88521882020-01-05 20:21:20 +01001907 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001908}
1909
Angel Pons7c49cb82020-03-16 23:17:32 +01001910/*
1911 * Compensate the skew between CMD/ADDR/CLK and DQ/DQS lanes.
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001912 *
Angel Pons7c49cb82020-03-16 23:17:32 +01001913 * Since DDR3 uses a fly-by topology, the data and strobes signals reach the chips at different
1914 * times with respect to command, address and clock signals. By delaying either all DQ/DQS or
1915 * all CMD/ADDR/CLK signals, a full phase shift can be introduced. It is assumed that the
1916 * CLK/ADDR/CMD signals have the same routing delay.
1917 *
1918 * To find the required phase shift the DRAM is placed in "write leveling" mode. In this mode,
1919 * the DRAM-chip samples the CLK on every DQS edge and feeds back the sampled value on the data
1920 * lanes (DQ).
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001921 */
Angel Pons88521882020-01-05 20:21:20 +01001922int write_training(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001923{
1924 int channel, slotrank, lane;
1925 int err;
1926
1927 FOR_ALL_POPULATED_CHANNELS
Angel Pons88521882020-01-05 20:21:20 +01001928 MCHBAR32_OR(TC_RWP_ch(channel), 0x8000000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001929
1930 FOR_ALL_POPULATED_CHANNELS {
1931 write_op(ctrl, channel);
Angel Pons88521882020-01-05 20:21:20 +01001932 MCHBAR32_OR(SCHED_CBIT_ch(channel), 0x200000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001933 }
1934
Angel Pons7c49cb82020-03-16 23:17:32 +01001935 /* Refresh disable */
Angel Pons88521882020-01-05 20:21:20 +01001936 MCHBAR32_AND(MC_INIT_STATE_G, ~8);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001937 FOR_ALL_POPULATED_CHANNELS {
1938 write_op(ctrl, channel);
1939 }
1940
Angel Pons7c49cb82020-03-16 23:17:32 +01001941 /* Enable write leveling on all ranks
1942 Disable all DQ outputs
1943 Only NOP is allowed in this mode */
1944 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS
1945 write_mrreg(ctrl, channel, slotrank, 1,
Felix Held2bb3cdf2018-07-28 00:23:59 +02001946 make_mr1(ctrl, slotrank, channel) | 0x1080);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001947
Angel Pons88521882020-01-05 20:21:20 +01001948 MCHBAR32(GDCRTRAININGMOD) = 0x108052;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001949
1950 toggle_io_reset();
1951
Angel Pons7c49cb82020-03-16 23:17:32 +01001952 /* Set any valid value for timB, it gets corrected later */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001953 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
1954 err = discover_timB(ctrl, channel, slotrank);
1955 if (err)
1956 return err;
1957 }
1958
Angel Pons7c49cb82020-03-16 23:17:32 +01001959 /* Disable write leveling on all ranks */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001960 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS
Angel Pons7c49cb82020-03-16 23:17:32 +01001961 write_mrreg(ctrl, channel, slotrank, 1, make_mr1(ctrl, slotrank, channel));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001962
Angel Pons88521882020-01-05 20:21:20 +01001963 MCHBAR32(GDCRTRAININGMOD) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001964
1965 FOR_ALL_POPULATED_CHANNELS
Angel Pons88521882020-01-05 20:21:20 +01001966 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001967
Angel Pons7c49cb82020-03-16 23:17:32 +01001968 /* Refresh enable */
Angel Pons88521882020-01-05 20:21:20 +01001969 MCHBAR32_OR(MC_INIT_STATE_G, 8);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001970
1971 FOR_ALL_POPULATED_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +01001972 MCHBAR32_AND(SCHED_CBIT_ch(channel), ~0x00200000);
1973 MCHBAR32(IOSAV_STATUS_ch(channel));
1974 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001975
1976 /* DRAM command ZQCS */
Angel Ponsca00dec2020-05-02 15:04:00 +02001977 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001978 IOSAV_ZQCS, 0,
Angel Pons2be59002020-05-02 22:15:03 +02001979 1, 4, 101, SSQ_NA,
Angel Ponsca00dec2020-05-02 15:04:00 +02001980 0, 6, 0, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02001981 0, 0, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001982
Angel Pons7c49cb82020-03-16 23:17:32 +01001983 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02001984 iosav_run_once(channel, 1);
Felix Held9cf1dd22018-07-31 14:52:40 +02001985
Angel Pons88521882020-01-05 20:21:20 +01001986 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001987 }
1988
1989 toggle_io_reset();
1990
1991 printram("CPE\n");
1992 precharge(ctrl);
1993 printram("CPF\n");
1994
1995 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01001996 MCHBAR32_AND(IOSAV_By_BW_MASK_ch(channel, lane), 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01001997 }
1998
1999 FOR_ALL_POPULATED_CHANNELS {
2000 fill_pattern0(ctrl, channel, 0xaaaaaaaa, 0x55555555);
Angel Pons88521882020-01-05 20:21:20 +01002001 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002002 }
2003
2004 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
2005 err = discover_timC(ctrl, channel, slotrank);
2006 if (err)
2007 return err;
2008 }
2009
2010 FOR_ALL_POPULATED_CHANNELS
2011 program_timings(ctrl, channel);
2012
2013 /* measure and adjust timB timings */
2014 adjust_high_timB(ctrl);
2015
2016 FOR_ALL_POPULATED_CHANNELS
2017 program_timings(ctrl, channel);
2018
2019 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002020 MCHBAR32_AND(IOSAV_By_BW_MASK_ch(channel, lane), 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002021 }
2022 return 0;
2023}
2024
Angel Pons88521882020-01-05 20:21:20 +01002025static int test_320c(ramctr_timing *ctrl, int channel, int slotrank)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002026{
2027 struct ram_rank_timings saved_rt = ctrl->timings[channel][slotrank];
2028 int timC_delta;
2029 int lanes_ok = 0;
2030 int ctr = 0;
2031 int lane;
2032
2033 for (timC_delta = -5; timC_delta <= 5; timC_delta++) {
2034 FOR_ALL_LANES {
2035 ctrl->timings[channel][slotrank].lanes[lane].timC =
2036 saved_rt.lanes[lane].timC + timC_delta;
2037 }
2038 program_timings(ctrl, channel);
2039 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002040 MCHBAR32(IOSAV_By_ERROR_COUNT(lane)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002041 }
2042
Angel Pons88521882020-01-05 20:21:20 +01002043 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0x1f;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002044
Angel Pons88521882020-01-05 20:21:20 +01002045 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002046 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02002047 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002048 IOSAV_ACT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002049 8, MAX(ctrl->tRRD, (ctrl->tFAW >> 2) + 1), ctrl->tRCD, SSQ_NA,
2050 ctr, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002051 0, 0, 1, 0, 18, 0, 0, 0);
Felix Held9fe248f2018-07-31 20:59:45 +02002052
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002053 /* DRAM command WR */
Angel Ponsca00dec2020-05-02 15:04:00 +02002054 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02002055 IOSAV_WR, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002056 32, 4, ctrl->CWL + ctrl->tWTR + 8, SSQ_WR,
2057 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002058 0, 1, 0, 0, 18, 3, 0, 2);
Angel Ponsca00dec2020-05-02 15:04:00 +02002059
Angel Ponsc36cd072020-05-02 16:51:39 +02002060 MCHBAR32(IOSAV_n_ADDRESS_LFSR_ch(channel, 1)) = 0x389abcd;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002061
2062 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002063 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02002064 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002065 32, 4, MAX(ctrl->tRTP, 8), SSQ_RD,
2066 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002067 0, 1, 0, 0, 18, 3, 0, 2);
Angel Ponsca00dec2020-05-02 15:04:00 +02002068
Angel Ponsc36cd072020-05-02 16:51:39 +02002069 MCHBAR32(IOSAV_n_ADDRESS_LFSR_ch(channel, 2)) = 0x389abcd;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002070
2071 /* DRAM command PRE */
Angel Ponsca00dec2020-05-02 15:04:00 +02002072 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02002073 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002074 1, 4, 15, SSQ_NA,
2075 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002076 0, 0, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002077
Angel Pons7c49cb82020-03-16 23:17:32 +01002078 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002079 iosav_run_once(channel, 4);
Felix Held9cf1dd22018-07-31 14:52:40 +02002080
Angel Pons88521882020-01-05 20:21:20 +01002081 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002082 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002083 u32 r32 = MCHBAR32(IOSAV_By_ERROR_COUNT_ch(channel, lane));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002084
2085 if (r32 == 0)
2086 lanes_ok |= 1 << lane;
2087 }
2088 ctr++;
Patrick Rudolphdd662872017-10-28 18:20:11 +02002089 if (lanes_ok == ((1 << ctrl->lanes) - 1))
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002090 break;
2091 }
2092
2093 ctrl->timings[channel][slotrank] = saved_rt;
2094
Patrick Rudolphdd662872017-10-28 18:20:11 +02002095 return lanes_ok != ((1 << ctrl->lanes) - 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002096}
2097
Angel Pons88521882020-01-05 20:21:20 +01002098static void fill_pattern5(ramctr_timing *ctrl, int channel, int patno)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002099{
Subrata Banikb1434fc2019-03-15 22:20:41 +05302100 unsigned int i, j;
Angel Pons7c49cb82020-03-16 23:17:32 +01002101 unsigned int offset = get_precedening_channels(ctrl, channel) * 0x40;
2102 unsigned int step = 0x40 * num_of_channels(ctrl);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002103
2104 if (patno) {
2105 u8 base8 = 0x80 >> ((patno - 1) % 8);
2106 u32 base = base8 | (base8 << 8) | (base8 << 16) | (base8 << 24);
2107 for (i = 0; i < 32; i++) {
2108 for (j = 0; j < 16; j++) {
2109 u32 val = use_base[patno - 1][i] & (1 << (j / 2)) ? base : 0;
Angel Pons7c49cb82020-03-16 23:17:32 +01002110
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002111 if (invert[patno - 1][i] & (1 << (j / 2)))
2112 val = ~val;
Angel Pons7c49cb82020-03-16 23:17:32 +01002113
2114 write32((void *)((1 << 26) + offset + i * step + j * 4), val);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002115 }
2116 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002117 } else {
Angel Pons7c49cb82020-03-16 23:17:32 +01002118 for (i = 0; i < ARRAY_SIZE(pattern); i++) {
2119 for (j = 0; j < 16; j++) {
2120 const u32 val = pattern[i][j];
2121 write32((void *)((1 << 26) + offset + i * step + j * 4), val);
2122 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002123 }
2124 sfence();
2125 }
2126}
2127
Angel Pons88521882020-01-05 20:21:20 +01002128static void reprogram_320c(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002129{
2130 int channel, slotrank;
2131
2132 FOR_ALL_POPULATED_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +01002133 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002134
Angel Pons7c49cb82020-03-16 23:17:32 +01002135 /* Choose an existing rank */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002136 slotrank = !(ctrl->rankmap[channel] & 1) ? 2 : 0;
2137
2138 /* DRAM command ZQCS */
Angel Ponsca00dec2020-05-02 15:04:00 +02002139 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002140 IOSAV_ZQCS, 0,
Angel Ponsca00dec2020-05-02 15:04:00 +02002141 1, 4, 4, SSQ_NA,
2142 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002143 0, 0, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002144
Angel Pons7c49cb82020-03-16 23:17:32 +01002145 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002146 iosav_run_once(channel, 1);
Felix Held9cf1dd22018-07-31 14:52:40 +02002147
Angel Pons88521882020-01-05 20:21:20 +01002148 wait_for_iosav(channel);
2149 MCHBAR32_OR(SCHED_CBIT_ch(channel), 0x200000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002150 }
2151
2152 /* refresh disable */
Angel Pons88521882020-01-05 20:21:20 +01002153 MCHBAR32_AND(MC_INIT_STATE_G, ~8);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002154 FOR_ALL_POPULATED_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +01002155 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002156
2157 /* choose an existing rank. */
2158 slotrank = !(ctrl->rankmap[channel] & 1) ? 2 : 0;
2159
2160 /* DRAM command ZQCS */
Angel Ponsca00dec2020-05-02 15:04:00 +02002161 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002162 IOSAV_ZQCS, 0,
Angel Ponsca00dec2020-05-02 15:04:00 +02002163 1, 4, 4, SSQ_NA,
2164 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002165 0, 0, 0, 0, 31, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002166
Angel Pons7c49cb82020-03-16 23:17:32 +01002167 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002168 iosav_run_once(channel, 1);
Felix Held9cf1dd22018-07-31 14:52:40 +02002169
Angel Pons88521882020-01-05 20:21:20 +01002170 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002171 }
2172
Angel Pons7c49cb82020-03-16 23:17:32 +01002173 /* JEDEC reset */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002174 dram_jedecreset(ctrl);
Angel Pons7c49cb82020-03-16 23:17:32 +01002175
2176 /* MRS commands */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002177 dram_mrscommands(ctrl);
2178
2179 toggle_io_reset();
2180}
2181
2182#define MIN_C320C_LEN 13
2183
2184static int try_cmd_stretch(ramctr_timing *ctrl, int channel, int cmd_stretch)
2185{
2186 struct ram_rank_timings saved_timings[NUM_CHANNELS][NUM_SLOTRANKS];
2187 int slotrank;
2188 int c320c;
2189 int stat[NUM_SLOTRANKS][256];
2190 int delta = 0;
2191
2192 printram("Trying cmd_stretch %d on channel %d\n", cmd_stretch, channel);
2193
2194 FOR_ALL_POPULATED_RANKS {
Angel Pons891f2bc2020-01-10 01:27:28 +01002195 saved_timings[channel][slotrank] = ctrl->timings[channel][slotrank];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002196 }
2197
2198 ctrl->cmd_stretch[channel] = cmd_stretch;
2199
Angel Pons88521882020-01-05 20:21:20 +01002200 MCHBAR32(TC_RAP_ch(channel)) =
Angel Pons7c49cb82020-03-16 23:17:32 +01002201 (ctrl->tRRD << 0)
2202 | (ctrl->tRTP << 4)
2203 | (ctrl->tCKE << 8)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002204 | (ctrl->tWTR << 12)
2205 | (ctrl->tFAW << 16)
Angel Pons7c49cb82020-03-16 23:17:32 +01002206 | (ctrl->tWR << 24)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002207 | (ctrl->cmd_stretch[channel] << 30);
2208
2209 if (ctrl->cmd_stretch[channel] == 2)
2210 delta = 2;
2211 else if (ctrl->cmd_stretch[channel] == 0)
2212 delta = 4;
2213
2214 FOR_ALL_POPULATED_RANKS {
Angel Pons88521882020-01-05 20:21:20 +01002215 ctrl->timings[channel][slotrank].roundtrip_latency -= delta;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002216 }
2217
2218 for (c320c = -127; c320c <= 127; c320c++) {
2219 FOR_ALL_POPULATED_RANKS {
Angel Pons88521882020-01-05 20:21:20 +01002220 ctrl->timings[channel][slotrank].pi_coding = c320c;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002221 }
2222 program_timings(ctrl, channel);
2223 reprogram_320c(ctrl);
2224 FOR_ALL_POPULATED_RANKS {
Angel Pons891f2bc2020-01-10 01:27:28 +01002225 stat[slotrank][c320c + 127] = test_320c(ctrl, channel, slotrank);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002226 }
2227 }
2228 FOR_ALL_POPULATED_RANKS {
Angel Pons7c49cb82020-03-16 23:17:32 +01002229 struct run rn = get_longest_zero_run(stat[slotrank], 255);
2230
Angel Pons88521882020-01-05 20:21:20 +01002231 ctrl->timings[channel][slotrank].pi_coding = rn.middle - 127;
Patrick Rudolph368b6152016-11-25 16:36:52 +01002232 printram("cmd_stretch: %d, %d: 0x%02x-0x%02x-0x%02x\n",
2233 channel, slotrank, rn.start, rn.middle, rn.end);
Angel Pons7c49cb82020-03-16 23:17:32 +01002234
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002235 if (rn.all || rn.length < MIN_C320C_LEN) {
2236 FOR_ALL_POPULATED_RANKS {
2237 ctrl->timings[channel][slotrank] =
2238 saved_timings[channel][slotrank];
2239 }
2240 return MAKE_ERR;
2241 }
2242 }
2243
2244 return 0;
2245}
2246
Angel Pons7c49cb82020-03-16 23:17:32 +01002247/*
2248 * Adjust CMD phase shift and try multiple command rates.
2249 * A command rate of 2T doubles the time needed for address and command decode.
2250 */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002251int command_training(ramctr_timing *ctrl)
2252{
2253 int channel;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002254
2255 FOR_ALL_POPULATED_CHANNELS {
2256 fill_pattern5(ctrl, channel, 0);
Angel Pons88521882020-01-05 20:21:20 +01002257 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0x1f;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002258 }
2259
2260 FOR_ALL_POPULATED_CHANNELS {
Patrick Rudolph58d16af2017-06-19 19:33:12 +02002261 int cmdrate, err;
2262
2263 /*
2264 * Dual DIMM per channel:
Angel Pons7c49cb82020-03-16 23:17:32 +01002265 * Issue:
2266 * While c320c discovery seems to succeed raminit will fail in write training.
2267 *
2268 * Workaround:
2269 * Skip 1T in dual DIMM mode, that's only supported by a few DIMMs.
2270 * Only try 1T mode for XMP DIMMs that request it in dual DIMM mode.
Patrick Rudolph58d16af2017-06-19 19:33:12 +02002271 *
2272 * Single DIMM per channel:
2273 * Try command rate 1T and 2T
2274 */
2275 cmdrate = ((ctrl->rankmap[channel] & 0x5) == 0x5);
Dan Elkoubydabebc32018-04-13 18:47:10 +03002276 if (ctrl->tCMD)
2277 /* XMP gives the CMD rate in clock ticks, not ns */
2278 cmdrate = MIN(DIV_ROUND_UP(ctrl->tCMD, 256) - 1, 1);
Patrick Rudolph58d16af2017-06-19 19:33:12 +02002279
Elyes HAOUASadda3f812018-01-31 23:02:35 +01002280 for (; cmdrate < 2; cmdrate++) {
Patrick Rudolph58d16af2017-06-19 19:33:12 +02002281 err = try_cmd_stretch(ctrl, channel, cmdrate << 1);
2282
2283 if (!err)
2284 break;
2285 }
2286
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002287 if (err) {
Patrick Rudolph58d16af2017-06-19 19:33:12 +02002288 printk(BIOS_EMERG, "c320c discovery failed\n");
2289 return err;
2290 }
2291
Angel Pons891f2bc2020-01-10 01:27:28 +01002292 printram("Using CMD rate %uT on channel %u\n", cmdrate + 1, channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002293 }
2294
2295 FOR_ALL_POPULATED_CHANNELS
2296 program_timings(ctrl, channel);
2297
2298 reprogram_320c(ctrl);
2299 return 0;
2300}
2301
Angel Pons891f2bc2020-01-10 01:27:28 +01002302static int discover_edges_real(ramctr_timing *ctrl, int channel, int slotrank, int *edges)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002303{
2304 int edge;
Angel Pons7c49cb82020-03-16 23:17:32 +01002305 int stats[NUM_LANES][MAX_EDGE_TIMING + 1];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002306 int lane;
2307
2308 for (edge = 0; edge <= MAX_EDGE_TIMING; edge++) {
2309 FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01002310 ctrl->timings[channel][slotrank].lanes[lane].rising = edge;
Angel Pons891f2bc2020-01-10 01:27:28 +01002311 ctrl->timings[channel][slotrank].lanes[lane].falling = edge;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002312 }
2313 program_timings(ctrl, channel);
2314
2315 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002316 MCHBAR32(IOSAV_By_ERROR_COUNT_ch(channel, lane)) = 0;
2317 MCHBAR32(IOSAV_By_BW_SERROR_C_ch(channel, lane));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002318 }
2319
Angel Pons88521882020-01-05 20:21:20 +01002320 wait_for_iosav(channel);
Angel Pons7c49cb82020-03-16 23:17:32 +01002321
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002322 /* DRAM command MRS
Angel Pons7c49cb82020-03-16 23:17:32 +01002323 write MR3 MPR enable
2324 in this mode only RD and RDA are allowed
2325 all reads return a predefined pattern */
Angel Ponsca00dec2020-05-02 15:04:00 +02002326 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002327 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002328 1, 3, ctrl->tMOD, SSQ_NA,
2329 4, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002330 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002331
2332 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002333 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02002334 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002335 500, 4, 4, SSQ_RD,
2336 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002337 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002338
2339 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002340 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02002341 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002342 1, 4, ctrl->CAS + 8, SSQ_NA,
2343 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002344 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002345
2346 /* DRAM command MRS
Angel Pons7c49cb82020-03-16 23:17:32 +01002347 MR3 disable MPR */
Angel Ponsca00dec2020-05-02 15:04:00 +02002348 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02002349 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002350 1, 3, ctrl->tMOD, SSQ_NA,
2351 0, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002352 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002353
Angel Pons7c49cb82020-03-16 23:17:32 +01002354 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002355 iosav_run_once(channel, 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002356
Angel Pons88521882020-01-05 20:21:20 +01002357 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002358
2359 FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01002360 stats[lane][edge] = MCHBAR32(IOSAV_By_ERROR_COUNT_ch(channel, lane));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002361 }
2362 }
Angel Pons7c49cb82020-03-16 23:17:32 +01002363
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002364 FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01002365 struct run rn = get_longest_zero_run(stats[lane], MAX_EDGE_TIMING + 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002366 edges[lane] = rn.middle;
Angel Pons7c49cb82020-03-16 23:17:32 +01002367
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002368 if (rn.all) {
Angel Pons7c49cb82020-03-16 23:17:32 +01002369 printk(BIOS_EMERG, "edge discovery failed: %d, %d, %d\n", channel,
2370 slotrank, lane);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002371 return MAKE_ERR;
2372 }
Angel Pons7c49cb82020-03-16 23:17:32 +01002373 printram("eval %d, %d, %d: %02x\n", channel, slotrank, lane, edges[lane]);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002374 }
2375 return 0;
2376}
2377
2378int discover_edges(ramctr_timing *ctrl)
2379{
2380 int falling_edges[NUM_CHANNELS][NUM_SLOTRANKS][NUM_LANES];
2381 int rising_edges[NUM_CHANNELS][NUM_SLOTRANKS][NUM_LANES];
2382 int channel, slotrank, lane;
2383 int err;
2384
Angel Pons88521882020-01-05 20:21:20 +01002385 MCHBAR32(GDCRTRAININGMOD) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002386
2387 toggle_io_reset();
2388
2389 FOR_ALL_POPULATED_CHANNELS FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002390 MCHBAR32(IOSAV_By_BW_MASK_ch(channel, lane)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002391 }
2392
2393 FOR_ALL_POPULATED_CHANNELS {
2394 fill_pattern0(ctrl, channel, 0, 0);
Angel Pons88521882020-01-05 20:21:20 +01002395 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002396 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002397 MCHBAR32(IOSAV_By_BW_SERROR_C_ch(channel, lane));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002398 }
2399
2400 FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons891f2bc2020-01-10 01:27:28 +01002401 ctrl->timings[channel][slotrank].lanes[lane].falling = 16;
2402 ctrl->timings[channel][slotrank].lanes[lane].rising = 16;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002403 }
2404
2405 program_timings(ctrl, channel);
2406
2407 FOR_ALL_POPULATED_RANKS {
Angel Pons88521882020-01-05 20:21:20 +01002408 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002409
2410 /* DRAM command MRS
Angel Pons7c49cb82020-03-16 23:17:32 +01002411 MR3 enable MPR
2412 write MR3 MPR enable
2413 in this mode only RD and RDA are allowed
2414 all reads return a predefined pattern */
Angel Ponsca00dec2020-05-02 15:04:00 +02002415 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002416 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002417 1, 3, ctrl->tMOD, SSQ_NA,
2418 4, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002419 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002420
2421 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002422 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02002423 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002424 3, 4, 4, SSQ_RD,
2425 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002426 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002427
2428 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002429 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02002430 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002431 1, 4, ctrl->CAS + 8, SSQ_NA,
2432 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002433 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002434
2435 /* DRAM command MRS
2436 * MR3 disable MPR */
Angel Ponsca00dec2020-05-02 15:04:00 +02002437 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02002438 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002439 1, 3, ctrl->tMOD, SSQ_NA,
2440 0, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002441 0, 0, 0, 0, 0, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02002442
Angel Pons7c49cb82020-03-16 23:17:32 +01002443 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002444 iosav_run_once(channel, 4);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002445
Angel Pons88521882020-01-05 20:21:20 +01002446 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002447 }
2448
2449 /* XXX: check any measured value ? */
2450
2451 FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons891f2bc2020-01-10 01:27:28 +01002452 ctrl->timings[channel][slotrank].lanes[lane].falling = 48;
Angel Pons7c49cb82020-03-16 23:17:32 +01002453 ctrl->timings[channel][slotrank].lanes[lane].rising = 48;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002454 }
2455
2456 program_timings(ctrl, channel);
2457
2458 FOR_ALL_POPULATED_RANKS {
Angel Pons88521882020-01-05 20:21:20 +01002459 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002460
2461 /* DRAM command MRS
Angel Pons7c49cb82020-03-16 23:17:32 +01002462 MR3 enable MPR
2463 write MR3 MPR enable
2464 in this mode only RD and RDA are allowed
2465 all reads return a predefined pattern */
Angel Ponsca00dec2020-05-02 15:04:00 +02002466 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002467 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002468 1, 3, ctrl->tMOD, SSQ_NA,
2469 4, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002470 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002471
2472 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002473 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02002474 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002475 3, 4, 4, SSQ_RD,
2476 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002477 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002478
2479 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002480 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02002481 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002482 1, 4, ctrl->CAS + 8, SSQ_NA,
2483 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002484 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002485
2486 /* DRAM command MRS
2487 * MR3 disable MPR */
Angel Ponsca00dec2020-05-02 15:04:00 +02002488 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02002489 IOSAV_MRS, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002490 1, 3, ctrl->tMOD, SSQ_NA,
2491 0, 6, 3, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002492 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002493
Angel Pons7c49cb82020-03-16 23:17:32 +01002494 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002495 iosav_run_once(channel, 4);
Felix Held9cf1dd22018-07-31 14:52:40 +02002496
Angel Pons88521882020-01-05 20:21:20 +01002497 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002498 }
2499
2500 /* XXX: check any measured value ? */
2501
2502 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002503 MCHBAR32(IOSAV_By_BW_MASK_ch(channel, lane)) =
Angel Pons891f2bc2020-01-10 01:27:28 +01002504 ~MCHBAR32(IOSAV_By_BW_SERROR_ch(channel, lane)) & 0xff;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002505 }
2506
2507 fill_pattern0(ctrl, channel, 0, 0xffffffff);
Angel Pons88521882020-01-05 20:21:20 +01002508 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002509 }
2510
Angel Pons0c3936e2020-03-22 12:49:27 +01002511 /*
2512 * FIXME: Under some conditions, vendor BIOS sets both edges to the same value. It will
2513 * also use a single loop. It would seem that it is a debugging configuration.
2514 */
Angel Pons88521882020-01-05 20:21:20 +01002515 MCHBAR32(IOSAV_DC_MASK) = 0x300;
2516 printram("discover falling edges:\n[%x] = %x\n", IOSAV_DC_MASK, 0x300);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002517
2518 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
2519 err = discover_edges_real(ctrl, channel, slotrank,
Felix Held2bb3cdf2018-07-28 00:23:59 +02002520 falling_edges[channel][slotrank]);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002521 if (err)
2522 return err;
2523 }
2524
Angel Pons88521882020-01-05 20:21:20 +01002525 MCHBAR32(IOSAV_DC_MASK) = 0x200;
2526 printram("discover rising edges:\n[%x] = %x\n", IOSAV_DC_MASK, 0x200);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002527
2528 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
2529 err = discover_edges_real(ctrl, channel, slotrank,
2530 rising_edges[channel][slotrank]);
2531 if (err)
2532 return err;
2533 }
2534
Angel Pons88521882020-01-05 20:21:20 +01002535 MCHBAR32(IOSAV_DC_MASK) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002536
2537 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
2538 ctrl->timings[channel][slotrank].lanes[lane].falling =
2539 falling_edges[channel][slotrank][lane];
2540 ctrl->timings[channel][slotrank].lanes[lane].rising =
2541 rising_edges[channel][slotrank][lane];
2542 }
2543
2544 FOR_ALL_POPULATED_CHANNELS {
2545 program_timings(ctrl, channel);
2546 }
2547
2548 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002549 MCHBAR32(IOSAV_By_BW_MASK_ch(channel, lane)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002550 }
2551 return 0;
2552}
2553
Angel Pons7c49cb82020-03-16 23:17:32 +01002554static int discover_edges_write_real(ramctr_timing *ctrl, int channel, int slotrank, int *edges)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002555{
2556 int edge;
Angel Pons7c49cb82020-03-16 23:17:32 +01002557 u32 raw_stats[MAX_EDGE_TIMING + 1];
2558 int stats[MAX_EDGE_TIMING + 1];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002559 const int reg3000b24[] = { 0, 0xc, 0x2c };
2560 int lane, i;
2561 int lower[NUM_LANES];
2562 int upper[NUM_LANES];
2563 int pat;
2564
2565 FOR_ALL_LANES {
2566 lower[lane] = 0;
2567 upper[lane] = MAX_EDGE_TIMING;
2568 }
2569
2570 for (i = 0; i < 3; i++) {
Angel Pons88521882020-01-05 20:21:20 +01002571 MCHBAR32(GDCRTRAININGMOD_ch(channel)) = reg3000b24[i] << 24;
Angel Pons7c49cb82020-03-16 23:17:32 +01002572 printram("[%x] = 0x%08x\n", GDCRTRAININGMOD_ch(channel), reg3000b24[i] << 24);
2573
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002574 for (pat = 0; pat < NUM_PATTERNS; pat++) {
2575 fill_pattern5(ctrl, channel, pat);
Angel Pons88521882020-01-05 20:21:20 +01002576 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0x1f;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002577 printram("using pattern %d\n", pat);
Angel Pons7c49cb82020-03-16 23:17:32 +01002578
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002579 for (edge = 0; edge <= MAX_EDGE_TIMING; edge++) {
2580 FOR_ALL_LANES {
2581 ctrl->timings[channel][slotrank].lanes[lane].
2582 rising = edge;
2583 ctrl->timings[channel][slotrank].lanes[lane].
2584 falling = edge;
2585 }
2586 program_timings(ctrl, channel);
2587
2588 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002589 MCHBAR32(IOSAV_By_ERROR_COUNT_ch(channel, lane)) = 0;
2590 MCHBAR32(IOSAV_By_BW_SERROR_C_ch(channel, lane));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002591 }
Angel Pons88521882020-01-05 20:21:20 +01002592 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002593
2594 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02002595 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002596 IOSAV_ACT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002597 4, MAX(ctrl->tRRD, (ctrl->tFAW >> 2) + 1), ctrl->tRCD, SSQ_NA,
2598 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002599 0, 0, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002600
2601 /* DRAM command WR */
Angel Ponsca00dec2020-05-02 15:04:00 +02002602 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02002603 IOSAV_WR, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002604 32, 20, ctrl->tWTR + ctrl->CWL + 8, SSQ_WR,
2605 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002606 0, 1, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002607
2608 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002609 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02002610 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002611 32, 20, MAX(ctrl->tRTP, 8), SSQ_RD,
2612 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002613 0, 1, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002614
2615 /* DRAM command PRE */
Angel Ponsca00dec2020-05-02 15:04:00 +02002616 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02002617 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002618 1, 3, ctrl->tRP, SSQ_NA,
2619 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002620 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002621
Angel Pons7c49cb82020-03-16 23:17:32 +01002622 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002623 iosav_run_once(channel, 4);
Felix Held9cf1dd22018-07-31 14:52:40 +02002624
Angel Pons88521882020-01-05 20:21:20 +01002625 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002626 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002627 MCHBAR32(IOSAV_By_ERROR_COUNT_ch(channel, lane));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002628 }
2629
Angel Pons7c49cb82020-03-16 23:17:32 +01002630 /* FIXME: This register only exists on Ivy Bridge */
Angel Pons098240eb2020-03-22 12:55:32 +01002631 raw_stats[edge] = MCHBAR32(IOSAV_BYTE_SERROR_C_ch(channel));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002632 }
Angel Pons7c49cb82020-03-16 23:17:32 +01002633
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002634 FOR_ALL_LANES {
2635 struct run rn;
2636 for (edge = 0; edge <= MAX_EDGE_TIMING; edge++)
Angel Pons7c49cb82020-03-16 23:17:32 +01002637 stats[edge] = !!(raw_stats[edge] & (1 << lane));
2638
2639 rn = get_longest_zero_run(stats, MAX_EDGE_TIMING + 1);
2640
2641 printram("edges: %d, %d, %d: 0x%02x-0x%02x-0x%02x, "
2642 "0x%02x-0x%02x\n", channel, slotrank, i, rn.start,
2643 rn.middle, rn.end, rn.start + ctrl->edge_offset[i],
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002644 rn.end - ctrl->edge_offset[i]);
Angel Pons7c49cb82020-03-16 23:17:32 +01002645
2646 lower[lane] = MAX(rn.start + ctrl->edge_offset[i], lower[lane]);
2647 upper[lane] = MIN(rn.end - ctrl->edge_offset[i], upper[lane]);
2648
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002649 edges[lane] = (lower[lane] + upper[lane]) / 2;
2650 if (rn.all || (lower[lane] > upper[lane])) {
Angel Pons7c49cb82020-03-16 23:17:32 +01002651 printk(BIOS_EMERG, "edge write discovery failed: "
2652 "%d, %d, %d\n", channel, slotrank, lane);
2653
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002654 return MAKE_ERR;
2655 }
2656 }
2657 }
2658 }
2659
Angel Pons88521882020-01-05 20:21:20 +01002660 MCHBAR32(GDCRTRAININGMOD_ch(0)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002661 printram("CPA\n");
2662 return 0;
2663}
2664
2665int discover_edges_write(ramctr_timing *ctrl)
2666{
2667 int falling_edges[NUM_CHANNELS][NUM_SLOTRANKS][NUM_LANES];
Angel Pons7c49cb82020-03-16 23:17:32 +01002668 int rising_edges[NUM_CHANNELS][NUM_SLOTRANKS][NUM_LANES];
2669 int channel, slotrank, lane, err;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002670
Angel Pons7c49cb82020-03-16 23:17:32 +01002671 /*
2672 * FIXME: Under some conditions, vendor BIOS sets both edges to the same value. It will
2673 * also use a single loop. It would seem that it is a debugging configuration.
2674 */
Angel Pons88521882020-01-05 20:21:20 +01002675 MCHBAR32(IOSAV_DC_MASK) = 0x300;
2676 printram("discover falling edges write:\n[%x] = %x\n", IOSAV_DC_MASK, 0x300);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002677
2678 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
2679 err = discover_edges_write_real(ctrl, channel, slotrank,
Angel Pons7c49cb82020-03-16 23:17:32 +01002680 falling_edges[channel][slotrank]);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002681 if (err)
2682 return err;
2683 }
2684
Angel Pons88521882020-01-05 20:21:20 +01002685 MCHBAR32(IOSAV_DC_MASK) = 0x200;
2686 printram("discover rising edges write:\n[%x] = %x\n", IOSAV_DC_MASK, 0x200);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002687
2688 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
2689 err = discover_edges_write_real(ctrl, channel, slotrank,
Angel Pons7c49cb82020-03-16 23:17:32 +01002690 rising_edges[channel][slotrank]);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002691 if (err)
2692 return err;
2693 }
2694
Angel Pons88521882020-01-05 20:21:20 +01002695 MCHBAR32(IOSAV_DC_MASK) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002696
2697 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
2698 ctrl->timings[channel][slotrank].lanes[lane].falling =
Angel Pons7c49cb82020-03-16 23:17:32 +01002699 falling_edges[channel][slotrank][lane];
2700
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002701 ctrl->timings[channel][slotrank].lanes[lane].rising =
Angel Pons7c49cb82020-03-16 23:17:32 +01002702 rising_edges[channel][slotrank][lane];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002703 }
2704
2705 FOR_ALL_POPULATED_CHANNELS
2706 program_timings(ctrl, channel);
2707
2708 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002709 MCHBAR32(IOSAV_By_BW_MASK_ch(channel, lane)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002710 }
2711 return 0;
2712}
2713
2714static void test_timC_write(ramctr_timing *ctrl, int channel, int slotrank)
2715{
Angel Pons88521882020-01-05 20:21:20 +01002716 wait_for_iosav(channel);
Angel Pons7c49cb82020-03-16 23:17:32 +01002717
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002718 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02002719 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002720 IOSAV_ACT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002721 4, MAX((ctrl->tFAW >> 2) + 1, ctrl->tRRD), ctrl->tRCD, SSQ_NA,
2722 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002723 0, 0, 1, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002724
2725 /* DRAM command WR */
Angel Ponsca00dec2020-05-02 15:04:00 +02002726 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02002727 IOSAV_WR, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002728 480, 4, ctrl->tWTR + ctrl->CWL + 8, SSQ_WR,
2729 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002730 0, 1, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002731
2732 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002733 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02002734 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002735 480, 4, MAX(ctrl->tRTP, 8), SSQ_RD,
2736 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002737 0, 1, 0, 0, 18, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002738
2739 /* DRAM command PRE */
Angel Ponsca00dec2020-05-02 15:04:00 +02002740 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02002741 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002742 1, 4, ctrl->tRP, SSQ_NA,
2743 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002744 0, 0, 0, 0, 0, 0, 0, 0);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002745
Angel Pons7c49cb82020-03-16 23:17:32 +01002746 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002747 iosav_run_once(channel, 4);
Felix Held9cf1dd22018-07-31 14:52:40 +02002748
Angel Pons88521882020-01-05 20:21:20 +01002749 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002750}
2751
2752int discover_timC_write(ramctr_timing *ctrl)
2753{
Angel Pons7c49cb82020-03-16 23:17:32 +01002754 const u8 rege3c_b24[3] = { 0, 0x0f, 0x2f };
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002755 int i, pat;
2756
2757 int lower[NUM_CHANNELS][NUM_SLOTRANKS][NUM_LANES];
2758 int upper[NUM_CHANNELS][NUM_SLOTRANKS][NUM_LANES];
2759 int channel, slotrank, lane;
2760
2761 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
2762 lower[channel][slotrank][lane] = 0;
2763 upper[channel][slotrank][lane] = MAX_TIMC;
2764 }
2765
Angel Pons88521882020-01-05 20:21:20 +01002766 /*
2767 * Enable IOSAV_n_SPECIAL_COMMAND_ADDR optimization.
2768 * FIXME: This must only be done on Ivy Bridge.
2769 */
2770 MCHBAR32(MCMNTS_SPARE) = 1;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002771 printram("discover timC write:\n");
2772
2773 for (i = 0; i < 3; i++)
2774 FOR_ALL_POPULATED_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +01002775
2776 /* FIXME: Setting the Write VREF must only be done on Ivy Bridge */
2777 MCHBAR32_AND_OR(GDCRCMDDEBUGMUXCFG_Cz_S(channel),
2778 ~0x3f000000, rege3c_b24[i] << 24);
2779
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002780 udelay(2);
Angel Pons7c49cb82020-03-16 23:17:32 +01002781
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002782 for (pat = 0; pat < NUM_PATTERNS; pat++) {
2783 FOR_ALL_POPULATED_RANKS {
2784 int timC;
Angel Pons7c49cb82020-03-16 23:17:32 +01002785 u32 raw_stats[MAX_TIMC + 1];
2786 int stats[MAX_TIMC + 1];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002787
2788 /* Make sure rn.start < rn.end */
Angel Pons7c49cb82020-03-16 23:17:32 +01002789 stats[MAX_TIMC] = 1;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002790
2791 fill_pattern5(ctrl, channel, pat);
Angel Pons7c49cb82020-03-16 23:17:32 +01002792 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0x1f;
2793
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002794 for (timC = 0; timC < MAX_TIMC; timC++) {
Angel Pons7c49cb82020-03-16 23:17:32 +01002795 FOR_ALL_LANES {
2796 ctrl->timings[channel][slotrank]
2797 .lanes[lane].timC = timC;
2798 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002799 program_timings(ctrl, channel);
2800
2801 test_timC_write (ctrl, channel, slotrank);
2802
Angel Pons7c49cb82020-03-16 23:17:32 +01002803 /* FIXME: Another IVB-only register! */
Angel Pons098240eb2020-03-22 12:55:32 +01002804 raw_stats[timC] = MCHBAR32(
2805 IOSAV_BYTE_SERROR_C_ch(channel));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002806 }
2807 FOR_ALL_LANES {
2808 struct run rn;
Angel Pons7c49cb82020-03-16 23:17:32 +01002809 for (timC = 0; timC < MAX_TIMC; timC++) {
2810 stats[timC] = !!(raw_stats[timC]
2811 & (1 << lane));
2812 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002813
Angel Pons7c49cb82020-03-16 23:17:32 +01002814 rn = get_longest_zero_run(stats, MAX_TIMC + 1);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002815 if (rn.all) {
Angel Pons7c49cb82020-03-16 23:17:32 +01002816 printk(BIOS_EMERG,
2817 "timC write discovery failed: "
2818 "%d, %d, %d\n", channel,
2819 slotrank, lane);
2820
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002821 return MAKE_ERR;
2822 }
Angel Pons7c49cb82020-03-16 23:17:32 +01002823 printram("timC: %d, %d, %d: "
2824 "0x%02x-0x%02x-0x%02x, "
2825 "0x%02x-0x%02x\n", channel, slotrank,
2826 i, rn.start, rn.middle, rn.end,
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002827 rn.start + ctrl->timC_offset[i],
Angel Pons7c49cb82020-03-16 23:17:32 +01002828 rn.end - ctrl->timC_offset[i]);
2829
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002830 lower[channel][slotrank][lane] =
Elyes HAOUASf97c1c92019-12-03 18:22:06 +01002831 MAX(rn.start + ctrl->timC_offset[i],
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002832 lower[channel][slotrank][lane]);
Angel Pons7c49cb82020-03-16 23:17:32 +01002833
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002834 upper[channel][slotrank][lane] =
Elyes HAOUASf97c1c92019-12-03 18:22:06 +01002835 MIN(rn.end - ctrl->timC_offset[i],
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002836 upper[channel][slotrank][lane]);
2837
2838 }
2839 }
2840 }
2841 }
2842
2843 FOR_ALL_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +01002844 /* FIXME: Setting the Write VREF must only be done on Ivy Bridge */
Angel Pons88521882020-01-05 20:21:20 +01002845 MCHBAR32_AND(GDCRCMDDEBUGMUXCFG_Cz_S(channel), ~0x3f000000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002846 udelay(2);
2847 }
2848
Angel Pons88521882020-01-05 20:21:20 +01002849 /*
2850 * Disable IOSAV_n_SPECIAL_COMMAND_ADDR optimization.
2851 * FIXME: This must only be done on Ivy Bridge.
2852 */
2853 MCHBAR32(MCMNTS_SPARE) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002854
2855 printram("CPB\n");
2856
2857 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons7c49cb82020-03-16 23:17:32 +01002858 printram("timC %d, %d, %d: %x\n", channel, slotrank, lane,
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002859 (lower[channel][slotrank][lane] +
2860 upper[channel][slotrank][lane]) / 2);
Angel Pons7c49cb82020-03-16 23:17:32 +01002861
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002862 ctrl->timings[channel][slotrank].lanes[lane].timC =
2863 (lower[channel][slotrank][lane] +
2864 upper[channel][slotrank][lane]) / 2;
2865 }
2866 FOR_ALL_POPULATED_CHANNELS {
2867 program_timings(ctrl, channel);
2868 }
2869 return 0;
2870}
2871
Angel Pons88521882020-01-05 20:21:20 +01002872void normalize_training(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002873{
2874 int channel, slotrank, lane;
Patrick Rudolph3c8cb972016-11-25 16:00:01 +01002875 int mat;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002876
2877 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
2878 int delta;
Patrick Rudolph3c8cb972016-11-25 16:00:01 +01002879 mat = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002880 FOR_ALL_LANES mat =
Elyes HAOUASf97c1c92019-12-03 18:22:06 +01002881 MAX(ctrl->timings[channel][slotrank].lanes[lane].timA, mat);
Patrick Rudolph413edc82016-11-25 15:40:07 +01002882 printram("normalize %d, %d, %d: mat %d\n",
2883 channel, slotrank, lane, mat);
2884
Felix Heldef4fe3e2019-12-31 14:15:05 +01002885 delta = (mat >> 6) - ctrl->timings[channel][slotrank].io_latency;
Patrick Rudolph413edc82016-11-25 15:40:07 +01002886 printram("normalize %d, %d, %d: delta %d\n",
2887 channel, slotrank, lane, delta);
2888
Angel Pons88521882020-01-05 20:21:20 +01002889 ctrl->timings[channel][slotrank].roundtrip_latency += delta;
Felix Heldef4fe3e2019-12-31 14:15:05 +01002890 ctrl->timings[channel][slotrank].io_latency += delta;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002891 }
2892
2893 FOR_ALL_POPULATED_CHANNELS {
2894 program_timings(ctrl, channel);
2895 }
2896}
2897
Angel Pons88521882020-01-05 20:21:20 +01002898void write_controller_mr(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002899{
2900 int channel, slotrank;
2901
2902 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS {
Felix Heldfb19c8a2020-01-14 21:27:59 +01002903 MCHBAR32(lane_base[slotrank] + GDCRTRAININGRESULT1(channel)) =
Felix Held2bb3cdf2018-07-28 00:23:59 +02002904 make_mr0(ctrl, slotrank);
Felix Heldfb19c8a2020-01-14 21:27:59 +01002905 MCHBAR32(lane_base[slotrank] + GDCRTRAININGRESULT2(channel)) =
Felix Held2bb3cdf2018-07-28 00:23:59 +02002906 make_mr1(ctrl, slotrank, channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002907 }
2908}
2909
2910int channel_test(ramctr_timing *ctrl)
2911{
2912 int channel, slotrank, lane;
2913
2914 slotrank = 0;
2915 FOR_ALL_POPULATED_CHANNELS
Angel Pons88521882020-01-05 20:21:20 +01002916 if (MCHBAR32(MC_INIT_STATE_ch(channel)) & 0xa000) {
Angel Pons891f2bc2020-01-10 01:27:28 +01002917 printk(BIOS_EMERG, "Mini channel test failed (1): %d\n", channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002918 return MAKE_ERR;
2919 }
2920 FOR_ALL_POPULATED_CHANNELS {
2921 fill_pattern0(ctrl, channel, 0x12345678, 0x98765432);
2922
Angel Pons88521882020-01-05 20:21:20 +01002923 MCHBAR32(IOSAV_DATA_CTL_ch(channel)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002924 }
2925
2926 for (slotrank = 0; slotrank < 4; slotrank++)
2927 FOR_ALL_CHANNELS
2928 if (ctrl->rankmap[channel] & (1 << slotrank)) {
2929 FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01002930 MCHBAR32(IOSAV_By_ERROR_COUNT(lane)) = 0;
2931 MCHBAR32(IOSAV_By_BW_SERROR_C(lane)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002932 }
Angel Pons88521882020-01-05 20:21:20 +01002933 wait_for_iosav(channel);
Felix Held9cf1dd22018-07-31 14:52:40 +02002934
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002935 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02002936 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002937 IOSAV_ACT, 1,
Angel Pons2be59002020-05-02 22:15:03 +02002938 4, 8, 40, SSQ_NA,
Angel Ponsca00dec2020-05-02 15:04:00 +02002939 0, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002940 0, 0, 1, 0, 18, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02002941
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002942 /* DRAM command WR */
Angel Ponsca00dec2020-05-02 15:04:00 +02002943 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02002944 IOSAV_WR, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002945 100, 4, 40, SSQ_WR,
2946 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002947 0, 1, 0, 0, 18, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02002948
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002949 /* DRAM command RD */
Angel Ponsca00dec2020-05-02 15:04:00 +02002950 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02002951 IOSAV_RD, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002952 100, 4, 40, SSQ_RD,
2953 0, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002954 0, 1, 0, 0, 18, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02002955
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002956 /* DRAM command PRE */
Angel Ponsca00dec2020-05-02 15:04:00 +02002957 IOSAV_SUBSEQUENCE(channel, 3,
Angel Ponsb631d072020-05-02 20:00:32 +02002958 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002959 1, 3, 40, SSQ_NA,
2960 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002961 0, 0, 0, 0, 18, 0, 0, 0);
Felix Held9cf1dd22018-07-31 14:52:40 +02002962
Angel Pons7c49cb82020-03-16 23:17:32 +01002963 /* Execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02002964 iosav_run_once(channel, 4);
Felix Held9cf1dd22018-07-31 14:52:40 +02002965
Angel Pons88521882020-01-05 20:21:20 +01002966 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002967 FOR_ALL_LANES
Angel Pons88521882020-01-05 20:21:20 +01002968 if (MCHBAR32(IOSAV_By_ERROR_COUNT_ch(channel, lane))) {
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01002969 printk(BIOS_EMERG, "Mini channel test failed (2): %d, %d, %d\n",
2970 channel, slotrank, lane);
2971 return MAKE_ERR;
2972 }
2973 }
2974 return 0;
2975}
2976
Patrick Rudolphdd662872017-10-28 18:20:11 +02002977void channel_scrub(ramctr_timing *ctrl)
2978{
2979 int channel, slotrank, row, rowsize;
2980
2981 FOR_ALL_POPULATED_CHANNELS FOR_ALL_POPULATED_RANKS {
2982 rowsize = 1 << ctrl->info.dimm[channel][slotrank >> 1].row_bits;
2983 for (row = 0; row < rowsize; row += 16) {
2984
2985 wait_for_iosav(channel);
2986
2987 /* DRAM command ACT */
Angel Ponsca00dec2020-05-02 15:04:00 +02002988 IOSAV_SUBSEQUENCE(channel, 0,
Angel Ponsb631d072020-05-02 20:00:32 +02002989 IOSAV_ACT, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002990 1, MAX((ctrl->tFAW >> 2) + 1, ctrl->tRRD), ctrl->tRCD, SSQ_NA,
2991 row, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002992 1, 0, 0, 0, 18, 0, 0, 0);
Patrick Rudolphdd662872017-10-28 18:20:11 +02002993
2994 /* DRAM command WR */
Angel Ponsca00dec2020-05-02 15:04:00 +02002995 IOSAV_SUBSEQUENCE(channel, 1,
Angel Ponsb631d072020-05-02 20:00:32 +02002996 IOSAV_WR, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02002997 129, 4, 40, SSQ_WR,
2998 row, 0, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02002999 0, 1, 0, 0, 18, 0, 0, 0);
Patrick Rudolphdd662872017-10-28 18:20:11 +02003000
3001 /* DRAM command PRE */
Angel Ponsca00dec2020-05-02 15:04:00 +02003002 IOSAV_SUBSEQUENCE(channel, 2,
Angel Ponsb631d072020-05-02 20:00:32 +02003003 IOSAV_PRE, 1,
Angel Ponsca00dec2020-05-02 15:04:00 +02003004 1, 3, 40, SSQ_NA,
3005 1024, 6, 0, slotrank,
Angel Ponsb631d072020-05-02 20:00:32 +02003006 0, 0, 0, 0, 18, 0, 0, 0);
Patrick Rudolphdd662872017-10-28 18:20:11 +02003007
3008 /* execute command queue */
Angel Ponsad704002020-05-02 22:51:58 +02003009 iosav_run_once(channel, 3);
Patrick Rudolphdd662872017-10-28 18:20:11 +02003010
3011 wait_for_iosav(channel);
3012 }
3013 }
3014}
3015
Angel Pons88521882020-01-05 20:21:20 +01003016void set_scrambling_seed(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003017{
3018 int channel;
3019
Angel Pons7c49cb82020-03-16 23:17:32 +01003020 /* FIXME: we hardcode seeds. Do we need to use some PRNG for them? I don't think so. */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003021 static u32 seeds[NUM_CHANNELS][3] = {
3022 {0x00009a36, 0xbafcfdcf, 0x46d1ab68},
3023 {0x00028bfa, 0x53fe4b49, 0x19ed5483}
3024 };
3025 FOR_ALL_POPULATED_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +01003026 MCHBAR32(SCHED_CBIT_ch(channel)) &= ~0x10000000;
Angel Pons7c49cb82020-03-16 23:17:32 +01003027 MCHBAR32(SCRAMBLING_SEED_1_ch(channel)) = seeds[channel][0];
3028 MCHBAR32(SCRAMBLING_SEED_2_HI_ch(channel)) = seeds[channel][1];
3029 MCHBAR32(SCRAMBLING_SEED_2_LO_ch(channel)) = seeds[channel][2];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003030 }
3031}
3032
Angel Pons89ae6b82020-03-21 13:23:32 +01003033void set_wmm_behavior(const u32 cpu)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003034{
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003035 if (IS_SANDY_CPU(cpu) && (IS_SANDY_CPU_D0(cpu) || IS_SANDY_CPU_D1(cpu))) {
Angel Pons7c49cb82020-03-16 23:17:32 +01003036 MCHBAR32(SC_WDBWM) = 0x141d1519;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003037 } else {
Angel Pons7c49cb82020-03-16 23:17:32 +01003038 MCHBAR32(SC_WDBWM) = 0x551d1519;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003039 }
3040}
3041
Angel Pons88521882020-01-05 20:21:20 +01003042void prepare_training(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003043{
3044 int channel;
3045
3046 FOR_ALL_POPULATED_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +01003047 /* Always drive command bus */
Angel Pons88521882020-01-05 20:21:20 +01003048 MCHBAR32_OR(TC_RAP_ch(channel), 0x20000000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003049 }
3050
3051 udelay(1);
3052
3053 FOR_ALL_POPULATED_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +01003054 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003055 }
3056}
3057
Angel Pons7c49cb82020-03-16 23:17:32 +01003058void set_read_write_timings(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003059{
3060 int channel, slotrank;
Patrick Rudolph19c3dad2016-11-26 11:37:45 +01003061
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003062 FOR_ALL_POPULATED_CHANNELS {
3063 u32 b20, b4_8_12;
Angel Pons88521882020-01-05 20:21:20 +01003064 int min_pi = 10000;
3065 int max_pi = -10000;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003066
3067 FOR_ALL_POPULATED_RANKS {
Angel Pons88521882020-01-05 20:21:20 +01003068 max_pi = MAX(ctrl->timings[channel][slotrank].pi_coding, max_pi);
3069 min_pi = MIN(ctrl->timings[channel][slotrank].pi_coding, min_pi);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003070 }
3071
Angel Pons7c49cb82020-03-16 23:17:32 +01003072 b20 = (max_pi - min_pi > 51) ? 0 : ctrl->ref_card_offset[channel];
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003073
Angel Pons7c49cb82020-03-16 23:17:32 +01003074 b4_8_12 = (ctrl->pi_coding_threshold < max_pi - min_pi) ? 0x3330 : 0x2220;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003075
Patrick Rudolph19c3dad2016-11-26 11:37:45 +01003076 dram_odt_stretch(ctrl, channel);
3077
Angel Pons7c49cb82020-03-16 23:17:32 +01003078 MCHBAR32(TC_RWP_ch(channel)) = 0x0a000000 | (b20 << 20) |
Felix Held2463aa92018-07-29 21:37:55 +02003079 ((ctrl->ref_card_offset[channel] + 2) << 16) | b4_8_12;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003080 }
3081}
3082
Angel Pons88521882020-01-05 20:21:20 +01003083void set_normal_operation(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003084{
3085 int channel;
3086 FOR_ALL_POPULATED_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +01003087 MCHBAR32(MC_INIT_STATE_ch(channel)) = 0x00001000 | ctrl->rankmap[channel];
3088 MCHBAR32_AND(TC_RAP_ch(channel), ~0x20000000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003089 }
3090}
3091
Angel Pons7c49cb82020-03-16 23:17:32 +01003092/* Encode the watermark latencies in a suitable format for graphics drivers consumption */
3093static int encode_wm(int ns)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003094{
Angel Pons88521882020-01-05 20:21:20 +01003095 return (ns + 499) / 500;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003096}
3097
Angel Pons7c49cb82020-03-16 23:17:32 +01003098/* FIXME: values in this function should be hardware revision-dependent */
Angel Pons88521882020-01-05 20:21:20 +01003099void final_registers(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003100{
Patrick Rudolph74203de2017-11-20 11:57:01 +01003101 const size_t is_mobile = get_platform_type() == PLATFORM_MOBILE;
3102
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003103 int channel;
3104 int t1_cycles = 0, t1_ns = 0, t2_ns;
3105 int t3_ns;
3106 u32 r32;
3107
Angel Pons7c49cb82020-03-16 23:17:32 +01003108 /* FIXME: This register only exists on Ivy Bridge */
3109 MCHBAR32(WMM_READ_CONFIG) = 0x46;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003110
Felix Heldf9b826a2018-07-30 17:56:52 +02003111 FOR_ALL_CHANNELS
Angel Pons7c49cb82020-03-16 23:17:32 +01003112 MCHBAR32_AND_OR(TC_OTHP_ch(channel), 0xffffcfff, 0x1000);
Patrick Rudolph652c4912017-10-31 11:36:55 +01003113
Patrick Rudolph74203de2017-11-20 11:57:01 +01003114 if (is_mobile)
Patrick Rudolph652c4912017-10-31 11:36:55 +01003115 /* APD - DLL Off, 64 DCLKs until idle, decision per rank */
Angel Pons2a9a49b2019-12-31 14:24:12 +01003116 MCHBAR32(PM_PDWN_CONFIG) = 0x00000740;
Patrick Rudolph652c4912017-10-31 11:36:55 +01003117 else
Angel Pons7c49cb82020-03-16 23:17:32 +01003118 /* APD - PPD, 64 DCLKs until idle, decision per rank */
Angel Pons2a9a49b2019-12-31 14:24:12 +01003119 MCHBAR32(PM_PDWN_CONFIG) = 0x00000340;
Patrick Rudolph652c4912017-10-31 11:36:55 +01003120
Felix Heldf9b826a2018-07-30 17:56:52 +02003121 FOR_ALL_CHANNELS
Angel Pons88521882020-01-05 20:21:20 +01003122 MCHBAR32(PM_TRML_M_CONFIG_ch(channel)) = 0x00000aaa;
Felix Heldf9b826a2018-07-30 17:56:52 +02003123
Angel Pons88521882020-01-05 20:21:20 +01003124 MCHBAR32(PM_BW_LIMIT_CONFIG) = 0x5f7003ff; // OK
3125 MCHBAR32(PM_DLL_CONFIG) = 0x00073000 | ctrl->mdll_wake_delay; // OK
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003126
3127 FOR_ALL_CHANNELS {
3128 switch (ctrl->rankmap[channel]) {
Angel Pons7c49cb82020-03-16 23:17:32 +01003129 /* Unpopulated channel */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003130 case 0:
Angel Pons88521882020-01-05 20:21:20 +01003131 MCHBAR32(PM_CMD_PWR_ch(channel)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003132 break;
Angel Pons7c49cb82020-03-16 23:17:32 +01003133 /* Only single-ranked dimms */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003134 case 1:
3135 case 4:
3136 case 5:
Angel Pons7c49cb82020-03-16 23:17:32 +01003137 MCHBAR32(PM_CMD_PWR_ch(channel)) = 0x00373131;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003138 break;
Angel Pons7c49cb82020-03-16 23:17:32 +01003139 /* Dual-ranked dimms present */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003140 default:
Angel Pons7c49cb82020-03-16 23:17:32 +01003141 MCHBAR32(PM_CMD_PWR_ch(channel)) = 0x009b6ea1;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003142 break;
3143 }
3144 }
3145
Felix Held50b7ed22019-12-30 20:41:54 +01003146 MCHBAR32(MEM_TRML_ESTIMATION_CONFIG) = 0xca9171e5;
Angel Pons7c49cb82020-03-16 23:17:32 +01003147 MCHBAR32_AND_OR(MEM_TRML_THRESHOLDS_CONFIG, ~0x00ffffff, 0x00e4d5d0);
Felix Held50b7ed22019-12-30 20:41:54 +01003148 MCHBAR32_AND(MEM_TRML_INTERRUPT, ~0x1f);
Felix Heldf9b826a2018-07-30 17:56:52 +02003149
3150 FOR_ALL_CHANNELS
Angel Pons7c49cb82020-03-16 23:17:32 +01003151 MCHBAR32_AND_OR(TC_RFP_ch(channel), ~(3 << 16), 1 << 16);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003152
Angel Pons88521882020-01-05 20:21:20 +01003153 MCHBAR32_OR(MC_INIT_STATE_G, 1);
3154 MCHBAR32_OR(MC_INIT_STATE_G, 0x80);
3155 MCHBAR32(BANDTIMERS_SNB) = 0xfa;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003156
Angel Pons7c49cb82020-03-16 23:17:32 +01003157 /* Find a populated channel */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003158 FOR_ALL_POPULATED_CHANNELS
3159 break;
3160
Angel Pons88521882020-01-05 20:21:20 +01003161 t1_cycles = (MCHBAR32(TC_ZQCAL_ch(channel)) >> 8) & 0xff;
3162 r32 = MCHBAR32(PM_DLL_CONFIG);
Angel Pons7c49cb82020-03-16 23:17:32 +01003163 if (r32 & (1 << 17))
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003164 t1_cycles += (r32 & 0xfff);
Angel Pons88521882020-01-05 20:21:20 +01003165 t1_cycles += MCHBAR32(TC_SRFTP_ch(channel)) & 0xfff;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003166 t1_ns = t1_cycles * ctrl->tCK / 256 + 544;
Angel Pons7c49cb82020-03-16 23:17:32 +01003167 if (!(r32 & (1 << 17)))
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003168 t1_ns += 500;
3169
Angel Pons88521882020-01-05 20:21:20 +01003170 t2_ns = 10 * ((MCHBAR32(SAPMTIMERS) >> 8) & 0xfff);
Angel Pons891f2bc2020-01-10 01:27:28 +01003171 if (MCHBAR32(SAPMCTL) & 8) {
Angel Pons7c49cb82020-03-16 23:17:32 +01003172 t3_ns = 10 * ((MCHBAR32(BANDTIMERS_IVB) >> 8) & 0xfff);
Angel Pons88521882020-01-05 20:21:20 +01003173 t3_ns += 10 * (MCHBAR32(SAPMTIMERS2_IVB) & 0xff);
Angel Pons891f2bc2020-01-10 01:27:28 +01003174 } else {
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003175 t3_ns = 500;
3176 }
Angel Pons7c49cb82020-03-16 23:17:32 +01003177
3178 /* The graphics driver will use these watermark values */
3179 printk(BIOS_DEBUG, "t123: %d, %d, %d\n", t1_ns, t2_ns, t3_ns);
3180 MCHBAR32_AND_OR(SSKPD, 0xC0C0C0C0,
3181 ((encode_wm(t1_ns) + encode_wm(t2_ns)) << 16) | (encode_wm(t1_ns) << 8) |
3182 ((encode_wm(t3_ns) + encode_wm(t2_ns) + encode_wm(t1_ns)) << 24) | 0x0c);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003183}
3184
Angel Pons88521882020-01-05 20:21:20 +01003185void restore_timings(ramctr_timing *ctrl)
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003186{
3187 int channel, slotrank, lane;
3188
Angel Pons7c49cb82020-03-16 23:17:32 +01003189 FOR_ALL_POPULATED_CHANNELS {
3190 MCHBAR32(TC_RAP_ch(channel)) =
3191 (ctrl->tRRD << 0)
3192 | (ctrl->tRTP << 4)
3193 | (ctrl->tCKE << 8)
3194 | (ctrl->tWTR << 12)
3195 | (ctrl->tFAW << 16)
3196 | (ctrl->tWR << 24)
3197 | (ctrl->cmd_stretch[channel] << 30);
3198 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003199
3200 udelay(1);
3201
3202 FOR_ALL_POPULATED_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +01003203 wait_for_iosav(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003204 }
3205
3206 FOR_ALL_CHANNELS FOR_ALL_POPULATED_RANKS FOR_ALL_LANES {
Angel Pons88521882020-01-05 20:21:20 +01003207 MCHBAR32(IOSAV_By_BW_MASK_ch(channel, lane)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003208 }
3209
3210 FOR_ALL_POPULATED_CHANNELS
Angel Pons7c49cb82020-03-16 23:17:32 +01003211 MCHBAR32_OR(TC_RWP_ch(channel), 0x08000000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003212
3213 FOR_ALL_POPULATED_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +01003214 udelay(1);
3215 MCHBAR32_OR(SCHED_CBIT_ch(channel), 0x00200000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003216 }
3217
3218 printram("CPE\n");
3219
Angel Pons88521882020-01-05 20:21:20 +01003220 MCHBAR32(GDCRTRAININGMOD) = 0;
3221 MCHBAR32(IOSAV_DC_MASK) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003222
3223 printram("CP5b\n");
3224
3225 FOR_ALL_POPULATED_CHANNELS {
3226 program_timings(ctrl, channel);
3227 }
3228
3229 u32 reg, addr;
3230
Angel Pons7c49cb82020-03-16 23:17:32 +01003231 /* Poll for RCOMP */
3232 while (!(MCHBAR32(RCOMP_TIMER) & (1 << 16)))
3233 ;
3234
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003235 do {
Angel Pons88521882020-01-05 20:21:20 +01003236 reg = MCHBAR32(IOSAV_STATUS_ch(0));
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003237 } while ((reg & 0x14) == 0);
3238
Angel Pons7c49cb82020-03-16 23:17:32 +01003239 /* Set state of memory controller */
Angel Pons88521882020-01-05 20:21:20 +01003240 MCHBAR32(MC_INIT_STATE_G) = 0x116;
Angel Pons7c49cb82020-03-16 23:17:32 +01003241 MCHBAR32(MC_INIT_STATE) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003242
Angel Pons7c49cb82020-03-16 23:17:32 +01003243 /* Wait 500us */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003244 udelay(500);
3245
3246 FOR_ALL_CHANNELS {
Angel Pons7c49cb82020-03-16 23:17:32 +01003247 /* Set valid rank CKE */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003248 reg = 0;
Angel Pons7c49cb82020-03-16 23:17:32 +01003249 reg = (reg & ~0x0f) | ctrl->rankmap[channel];
Angel Pons88521882020-01-05 20:21:20 +01003250 addr = MC_INIT_STATE_ch(channel);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003251 MCHBAR32(addr) = reg;
3252
Angel Pons7c49cb82020-03-16 23:17:32 +01003253 /* Wait 10ns for ranks to settle */
3254 // udelay(0.01);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003255
3256 reg = (reg & ~0xf0) | (ctrl->rankmap[channel] << 4);
3257 MCHBAR32(addr) = reg;
3258
Angel Pons7c49cb82020-03-16 23:17:32 +01003259 /* Write reset using a NOP */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003260 write_reset(ctrl);
3261 }
3262
Angel Pons7c49cb82020-03-16 23:17:32 +01003263 /* MRS commands */
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003264 dram_mrscommands(ctrl);
3265
3266 printram("CP5c\n");
3267
Angel Pons88521882020-01-05 20:21:20 +01003268 MCHBAR32(GDCRTRAININGMOD_ch(0)) = 0;
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003269
3270 FOR_ALL_CHANNELS {
Angel Pons88521882020-01-05 20:21:20 +01003271 MCHBAR32_AND(GDCRCMDDEBUGMUXCFG_Cz_S(channel), ~0x3f000000);
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003272 udelay(2);
3273 }
Patrick Rudolphfd5fa2a2016-11-11 18:22:33 +01003274}