blob: 2f5a8b02a53cde56da119de4f004c76fdc28fe95 [file] [log] [blame]
Patrick Georgiac959032020-05-05 22:49:26 +02001/* SPDX-License-Identifier: GPL-2.0-or-later */
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -07002
Elyes HAOUAS361a9352019-12-18 21:26:33 +01003#include <commonlib/helpers.h>
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -07004#include <spi_flash.h>
Furquan Shaikhc28984d2016-11-20 21:04:00 -08005#include <spi-generic.h>
Edward O'Callaghanc4561e22014-06-26 15:02:40 +10006
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -07007#include "spi_flash_internal.h"
8
9/* M25Pxx-specific commands */
10#define CMD_M25PXX_WREN 0x06 /* Write Enable */
11#define CMD_M25PXX_WRDI 0x04 /* Write Disable */
12#define CMD_M25PXX_RDSR 0x05 /* Read Status Register */
13#define CMD_M25PXX_WRSR 0x01 /* Write Status Register */
14#define CMD_M25PXX_READ 0x03 /* Read Data Bytes */
15#define CMD_M25PXX_FAST_READ 0x0b /* Read Data Bytes at Higher Speed */
16#define CMD_M25PXX_PP 0x02 /* Page Program */
Scott Radcliffef5fcedf2014-10-14 15:40:59 -040017#define CMD_M25PXX_SSE 0x20 /* Subsector Erase */
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -070018#define CMD_M25PXX_SE 0xd8 /* Sector Erase */
19#define CMD_M25PXX_BE 0xc7 /* Bulk Erase */
20#define CMD_M25PXX_DP 0xb9 /* Deep Power-down */
21#define CMD_M25PXX_RES 0xab /* Release from DP, and Read Signature */
22
Daisuke Nojirif0d038f2015-02-17 13:35:20 -080023/*
24 * Device ID = (memory_type << 8) + memory_capacity
25 */
26#define STM_ID_M25P10 0x2011
Daisuke Nojirif0d038f2015-02-17 13:35:20 -080027#define STM_ID_M25P20 0x2012
Daisuke Nojirif0d038f2015-02-17 13:35:20 -080028#define STM_ID_M25P40 0x2013
Daisuke Nojirif0d038f2015-02-17 13:35:20 -080029#define STM_ID_M25P80 0x2014
Stefan Taunercdb9b0c2018-08-03 01:13:41 +020030#define STM_ID_M25P16 0x2015
31#define STM_ID_M25P32 0x2016
32#define STM_ID_M25P64 0x2017
Daisuke Nojirif0d038f2015-02-17 13:35:20 -080033#define STM_ID_M25P128 0x2018
Mike Banon51122922019-01-15 03:07:36 +030034#define STM_ID_M25PX80 0x7114
35#define STM_ID_M25PX16 0x7115
36#define STM_ID_M25PX32 0x7116
37#define STM_ID_M25PX64 0x7117
38#define STM_ID_M25PE80 0x8014
39#define STM_ID_M25PE16 0x8015
40#define STM_ID_M25PE32 0x8016
41#define STM_ID_M25PE64 0x8017
42#define STM_ID_N25Q016__3E 0xba15
Stefan Taunercdb9b0c2018-08-03 01:13:41 +020043#define STM_ID_N25Q032__3E 0xba16
Mike Banon51122922019-01-15 03:07:36 +030044#define STM_ID_N25Q064__3E 0xba17
45#define STM_ID_N25Q128__3E 0xba18
46#define STM_ID_N25Q256__3E 0xba19
47#define STM_ID_N25Q016__1E 0xbb15
48#define STM_ID_N25Q032__1E 0xbb16
49#define STM_ID_N25Q064__1E 0xbb17
50#define STM_ID_N25Q128__1E 0xbb18
51#define STM_ID_N25Q256__1E 0xbb19
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -070052
Aaron Durbin5abeb062020-01-12 15:12:18 -070053static const struct spi_flash_part_id flash_table_se32k[] = {
Aaron Durbina6c73c82020-01-11 23:18:51 -070054 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070055 /* M25P10 */
56 .id[0] = STM_ID_M25P10,
Aaron Durbina6c73c82020-01-11 23:18:51 -070057 .nr_sectors_shift = 2,
Aaron Durbina6c73c82020-01-11 23:18:51 -070058 },
Aaron Durbin5abeb062020-01-12 15:12:18 -070059};
60
61static const struct spi_flash_part_id flash_table_se64k[] = {
Aaron Durbina6c73c82020-01-11 23:18:51 -070062 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070063 /* M25P16 */
64 .id[0] = STM_ID_M25P16,
Aaron Durbina6c73c82020-01-11 23:18:51 -070065 .nr_sectors_shift = 5,
Aaron Durbina6c73c82020-01-11 23:18:51 -070066 },
67 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070068 /* M25P20 */
69 .id[0] = STM_ID_M25P20,
Aaron Durbina6c73c82020-01-11 23:18:51 -070070 .nr_sectors_shift = 2,
Aaron Durbina6c73c82020-01-11 23:18:51 -070071 },
72 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070073 /* M25P32 */
74 .id[0] = STM_ID_M25P32,
Aaron Durbina6c73c82020-01-11 23:18:51 -070075 .nr_sectors_shift = 6,
Aaron Durbina6c73c82020-01-11 23:18:51 -070076 },
77 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070078 /* M25P40 */
79 .id[0] = STM_ID_M25P40,
Aaron Durbina6c73c82020-01-11 23:18:51 -070080 .nr_sectors_shift = 3,
Aaron Durbina6c73c82020-01-11 23:18:51 -070081 },
82 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070083 /* M25P64 */
84 .id[0] = STM_ID_M25P64,
Aaron Durbina6c73c82020-01-11 23:18:51 -070085 .nr_sectors_shift = 7,
Aaron Durbina6c73c82020-01-11 23:18:51 -070086 },
87 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070088 /* M25P80 */
89 .id[0] = STM_ID_M25P80,
Aaron Durbina6c73c82020-01-11 23:18:51 -070090 .nr_sectors_shift = 4,
Aaron Durbina6c73c82020-01-11 23:18:51 -070091 },
92 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070093 /* M25PX80 */
94 .id[0] = STM_ID_M25PX80,
Aaron Durbina6c73c82020-01-11 23:18:51 -070095 .nr_sectors_shift = 4,
Aaron Durbina6c73c82020-01-11 23:18:51 -070096 },
97 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -070098 /* M25PX16 */
99 .id[0] = STM_ID_M25PX16,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700100 .nr_sectors_shift = 5,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700101 },
102 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700103 /* M25PX32 */
104 .id[0] = STM_ID_M25PX32,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700105 .nr_sectors_shift = 6,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700106 },
107 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700108 /* M25PX64 */
109 .id[0] = STM_ID_M25PX64,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700110 .nr_sectors_shift = 7,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700111 },
112 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700113 /* M25PE80 */
114 .id[0] = STM_ID_M25PE80,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700115 .nr_sectors_shift = 4,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700116 },
117 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700118 /* M25PE16 */
119 .id[0] = STM_ID_M25PE16,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700120 .nr_sectors_shift = 5,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700121 },
122 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700123 /* M25PE32 */
124 .id[0] = STM_ID_M25PE32,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700125 .nr_sectors_shift = 6,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700126 },
127 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700128 /* M25PE64 */
129 .id[0] = STM_ID_M25PE64,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700130 .nr_sectors_shift = 7,
Aaron Durbin5abeb062020-01-12 15:12:18 -0700131 },
132};
133
134static const struct spi_flash_part_id flash_table_se256k[] = {
135 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700136 /* M25P128 */
137 .id[0] = STM_ID_M25P128,
Aaron Durbin5abeb062020-01-12 15:12:18 -0700138 .nr_sectors_shift = 6,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700139 },
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -0700140};
141
Aaron Durbina6c73c82020-01-11 23:18:51 -0700142static const struct spi_flash_part_id flash_table_sse[] = {
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -0700143 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700144 /* N25Q016..3E */
145 .id[0] = STM_ID_N25Q016__3E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700146 .nr_sectors_shift = 9,
Mike Banon51122922019-01-15 03:07:36 +0300147 },
148 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700149 /* N25Q032..3E */
150 .id[0] = STM_ID_N25Q032__3E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700151 .nr_sectors_shift = 10,
Stefan Taunercdb9b0c2018-08-03 01:13:41 +0200152 },
153 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700154 /* N25Q064..3E */
155 .id[0] = STM_ID_N25Q064__3E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700156 .nr_sectors_shift = 11,
David Imhoff61295b52015-05-03 16:02:56 +0200157 },
158 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700159 /* N25Q128..3E */
160 .id[0] = STM_ID_N25Q128__3E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700161 .nr_sectors_shift = 12,
David Imhoff72495722015-05-03 14:06:21 +0200162 },
163 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700164 /* N25Q256..3E */
165 .id[0] = STM_ID_N25Q256__3E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700166 .nr_sectors_shift = 13,
Mike Banon51122922019-01-15 03:07:36 +0300167 },
168 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700169 /* N25Q016..1E */
170 .id[0] = STM_ID_N25Q016__1E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700171 .nr_sectors_shift = 9,
Mike Banon51122922019-01-15 03:07:36 +0300172 },
173 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700174 /* N25Q032..1E */
175 .id[0] = STM_ID_N25Q032__1E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700176 .nr_sectors_shift = 10,
Mike Banon51122922019-01-15 03:07:36 +0300177 },
178 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700179 /* N25Q064..1E */
180 .id[0] = STM_ID_N25Q064__1E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700181 .nr_sectors_shift = 11,
Mike Banon51122922019-01-15 03:07:36 +0300182 },
183 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700184 /* N25Q128..1E */
185 .id[0] = STM_ID_N25Q128__1E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700186 .nr_sectors_shift = 12,
Mike Banon51122922019-01-15 03:07:36 +0300187 },
188 {
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700189 /* N25Q256..1E */
190 .id[0] = STM_ID_N25Q256__1E,
Aaron Durbina6c73c82020-01-11 23:18:51 -0700191 .nr_sectors_shift = 13,
Daisuke Nojirif0d038f2015-02-17 13:35:20 -0800192 },
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -0700193};
194
Aaron Durbin1c3086a2020-01-03 15:58:11 -0700195int stmicro_release_deep_sleep_identify(const struct spi_slave *spi, u8 *idcode)
196{
197 if (spi_flash_cmd(spi, CMD_M25PXX_RES, idcode, 4))
198 return -1;
199
200 /* Assuming ST parts identify with 0x1X to release from deep
201 power down and read electronic signature. */
202 if ((idcode[3] & 0xf0) != 0x10)
203 return -1;
204
205 /* Fix up the idcode to mimic rdid jedec instruction. */
206 idcode[0] = 0x20;
207 idcode[1] = 0x20;
208 idcode[2] = idcode[3] + 1;
209
210 return 0;
211}
212
Aaron Durbin5abeb062020-01-12 15:12:18 -0700213const struct spi_flash_vendor_info spi_flash_stmicro1_vi = {
214 .id = VENDOR_ID_STMICRO,
215 .page_size_shift = 8,
216 .sector_size_kib_shift = 5,
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700217 .match_id_mask[0] = 0xffff,
Aaron Durbin5abeb062020-01-12 15:12:18 -0700218 .ids = flash_table_se32k,
219 .nr_part_ids = ARRAY_SIZE(flash_table_se32k),
220 .desc = &spi_flash_pp_0xd8_sector_desc,
221};
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -0700222
Aaron Durbin5abeb062020-01-12 15:12:18 -0700223const struct spi_flash_vendor_info spi_flash_stmicro2_vi = {
224 .id = VENDOR_ID_STMICRO,
225 .page_size_shift = 8,
226 .sector_size_kib_shift = 6,
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700227 .match_id_mask[0] = 0xffff,
Aaron Durbin5abeb062020-01-12 15:12:18 -0700228 .ids = flash_table_se64k,
229 .nr_part_ids = ARRAY_SIZE(flash_table_se64k),
230 .desc = &spi_flash_pp_0xd8_sector_desc,
231};
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -0700232
Aaron Durbin5abeb062020-01-12 15:12:18 -0700233const struct spi_flash_vendor_info spi_flash_stmicro3_vi = {
234 .id = VENDOR_ID_STMICRO,
235 .page_size_shift = 8,
236 .sector_size_kib_shift = 8,
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700237 .match_id_mask[0] = 0xffff,
Aaron Durbin5abeb062020-01-12 15:12:18 -0700238 .ids = flash_table_se256k,
239 .nr_part_ids = ARRAY_SIZE(flash_table_se256k),
240 .desc = &spi_flash_pp_0xd8_sector_desc,
241};
Stefan Reinauer1c56d9b2012-05-10 11:27:32 -0700242
Aaron Durbin5abeb062020-01-12 15:12:18 -0700243const struct spi_flash_vendor_info spi_flash_stmicro4_vi = {
244 .id = VENDOR_ID_STMICRO,
245 .page_size_shift = 8,
246 .sector_size_kib_shift = 2,
Aaron Durbinfc7b9532020-01-23 11:45:30 -0700247 .match_id_mask[0] = 0xffff,
Aaron Durbin5abeb062020-01-12 15:12:18 -0700248 .ids = flash_table_sse,
249 .nr_part_ids = ARRAY_SIZE(flash_table_sse),
250 .desc = &spi_flash_pp_0x20_sector_desc,
251};