blob: a8ae9c53a930314c3ddf84a00de62608ebd0b7fd [file] [log] [blame]
Angel Pons6e5aabd2020-03-23 23:44:42 +01001/* SPDX-License-Identifier: GPL-2.0-only */
2/* This file is part of the coreboot project. */
Angel Pons7c49cb82020-03-16 23:17:32 +01003
4#ifndef __SANDYBRIDGE_MCHBAR_REGS_H__
5#define __SANDYBRIDGE_MCHBAR_REGS_H__
6
7/*
8 * ### IOSAV command queue notes ###
9 *
10 * Intel provides a command queue of depth four.
11 * Every command is configured by using multiple MCHBAR registers.
12 * On executing the command queue, you have to specify its depth (number of commands).
13 *
14 * The macros for these registers can take some integer parameters, within these bounds:
15 * channel: [0..1]
16 * index: [0..3]
17 * lane: [0..8]
18 *
19 * Note that these ranges are 'closed': both endpoints are included.
20 *
21 *
22 *
23 * ### Register description ###
24 *
25 * IOSAV_n_SP_CMD_ADDR_ch(channel, index)
26 * Sub-sequence command addresses. Controls the address, bank address and slotrank signals.
27 *
28 * Bitfields:
29 * [0..15] Row / Column Address.
30 * [16..18] The result of (10 + [16..18]) is the number of valid row bits.
31 * Note: Value 1 is not implemented. Not that it really matters, though.
32 * Value 7 is reserved, as the hardware does not support it.
33 * [20..22] Bank Address.
34 * [24..25] Rank select. Let's call it "ranksel", as it is mentioned later.
35 *
36 * IOSAV_n_ADDR_UPDATE_ch(channel, index)
37 * How the address shall be updated after executing the sub-sequence command.
38 *
39 * Bitfields:
40 * [0] Increment CAS/RAS by 1.
41 * [1] Increment CAS/RAS by 8.
42 * [2] Increment bank select by 1.
43 * [3..4] Increment rank select by 1, 2 or 3.
44 * [5..9] Known as "addr_wrap". Address bits will wrap around the [addr_wrap..0] range.
45 * [10..11] LFSR update:
46 * 00: Do not use the LFSR function.
47 * 01: Undefined, treat as Reserved.
48 * 10: Apply LFSR on the [addr_wrap..0] bit range.
49 * 11: Apply LFSR on the [addr_wrap..3] bit range.
50 *
51 * [12..15] Update rate. The number of command runs between address updates. For example:
52 * 0: Update every command run.
53 * 1: Update every second command run. That is, half of the command rate.
54 * N: Update after N command runs without updates.
55 *
56 * [16..17] LFSR behavior on the deselect cycles (when no sub-seq command is issued):
57 * 0: No change w.r.t. the last issued command.
58 * 1: LFSR XORs with address & command (excluding CS), but does not update.
59 * 2: LFSR XORs with address & command (excluding CS), and updates.
60 *
61 * IOSAV_n_SP_CMD_CTRL_ch(channel, index)
62 * Special command control register. Controls the DRAM command signals.
63 *
64 * Bitfields:
65 * [0] !RAS signal.
66 * [1] !CAS signal.
67 * [2] !WE signal.
68 * [4..7] CKE, per rank and channel.
69 * [8..11] ODT, per rank and channel.
70 * [12] Chip Select mode control.
71 * [13..16] Chip select, per rank and channel. It works as follows:
72 *
73 * entity CS_BLOCK is
74 * port (
75 * MODE : in std_logic; -- Mode select at [12]
76 * RANKSEL : in std_logic_vector(0 to 3); -- Decoded "ranksel" value
77 * CS_CTL : in std_logic_vector(0 to 3); -- Chip select control at [13..16]
78 * CS_Q : out std_logic_vector(0 to 3) -- CS signals
79 * );
80 * end entity CS_BLOCK;
81 *
82 * architecture RTL of CS_BLOCK is
83 * begin
84 * if MODE = '1' then
85 * CS_Q <= not RANKSEL and CS_CTL;
86 * else
87 * CS_Q <= CS_CTL;
88 * end if;
89 * end architecture RTL;
90 *
91 * [17] Auto Precharge. Only valid when using 10 row bits!
92 *
93 * IOSAV_n_SUBSEQ_CTRL_ch(channel, index)
94 * Sub-sequence parameters. Controls repetititons, delays and data orientation.
95 *
96 * Bitfields:
97 * [0..8] Number of repetitions of the sub-sequence command.
98 * [10..14] Gap, number of clock-cycles to wait before sending the next command.
99 * [16..24] Number of clock-cycles to idle between sub-sequence commands.
100 * [26..27] The direction of the data.
101 * 00: None, does not handle data
102 * 01: Read
103 * 10: Write
104 * 11: Read & Write
105 *
106 * IOSAV_n_ADDRESS_LFSR_ch(channel, index)
107 * 23-bit LFSR state register. It is written into the LFSR when the sub-sequence is loaded,
108 * and then read back from the LFSR when the sub-sequence is done.
109 *
110 * Bitfields:
111 * [0..22] LFSR state.
112 *
113 * IOSAV_SEQ_CTL_ch(channel)
114 * Control the sequence level in IOSAV: number of sub-sequences, iterations, maintenance...
115 *
116 * Bitfields:
117 * [0..7] Number of full sequence executions. When this field becomes non-zero, then the
118 * sequence starts running immediately. This value is decremented after completing
119 * a full sequence iteration. When it is zero, the sequence is done. No decrement
120 * is done if this field is set to 0xff. This is the "infinite repeat" mode, and
121 * it is manually aborted by clearing this field.
122 *
123 * [8..16] Number of wait cycles after each sequence iteration. This wait's purpose is to
124 * allow performing maintenance in infinite loops. When non-zero, RCOMP, refresh
125 * and ZQXS operations can take place.
126 *
127 * [17] Stop-on-error mode: Whether to stop sequence execution when an error occurs.
128 * [18..19] Number of sub-sequences. The programmed value is the index of the last sub-seq.
129 * [20] If set, keep refresh disabled until the next sequence execution.
130 * DANGER: Refresh must be re-enabled within the (9 * tREFI) period!
131 *
132 * [22] If set, sequence execution will not prevent refresh. This cannot be set when
133 * bit [20] is also set, or was set on the previous sequence. This bit exists so
134 * that the sequence machine can be used as a timer without affecting the memory.
135 *
136 * [23] If set, a output pin is asserted on the first detected error. This output can
137 * be used as a trigger for an oscilloscope or a logic analyzer, which is handy.
138 *
139 * IOSAV_DATA_CTL_ch(channel)
140 * Data-related controls in IOSAV mode.
141 *
142 * Bitfields:
143 * [0..7] WDB (Write Data Buffer) pattern length: [0..7] = (length / 8) - 1;
144 * [8..15] WDB read pointer. Points at the data used for IOSAV write transactions.
145 * [16..23] Comparison pointer. Used to compare data from IOSAV read transactions.
146 * [24] If set, increment pointers only when micro-breakpoint is active.
147 *
148 * IOSAV_STATUS_ch(channel)
149 * State of the IOSAV sequence machine. Should be polled after sending an IOSAV sequence.
150 *
151 * Bitfields:
152 * [0] IDLE: IOSAV is sleeping.
153 * [1] BUSY: IOSAV is running a sequence.
154 * [2] DONE: IOSAV has completed a sequence.
155 * [3] ERROR: IOSAV detected an error and stopped on it, when using Stop-on-error.
156 * [4] PANIC: The refresh machine issued a Panic Refresh, and IOSAV was aborted.
157 * [5] RCOMP: RComp failure. Unused, consider Reserved.
158 * [6] Cleared with a new sequence, and set when done and refresh counter is drained.
159 *
160 */
161
162/* Indexed register helper macros */
163#define Gz(r, z) ((r) + ((z) << 8))
164#define Ly(r, y) ((r) + ((y) << 2))
165#define Cx(r, x) ((r) + ((x) << 10))
166#define CxLy(r, x, y) ((r) + ((x) << 10) + ((y) << 2))
167#define GzLy(r, z, y) ((r) + ((z) << 8) + ((y) << 2))
168
169/* Byte lane training register base addresses */
170#define LANEBASE_B0 0x0000
171#define LANEBASE_B1 0x0200
172#define LANEBASE_B2 0x0400
173#define LANEBASE_B3 0x0600
174#define LANEBASE_ECC 0x0800 /* ECC lane is in the middle of the data lanes */
175#define LANEBASE_B4 0x1000
176#define LANEBASE_B5 0x1200
177#define LANEBASE_B6 0x1400
178#define LANEBASE_B7 0x1600
179
180/* Byte lane register offsets */
181#define GDCRTRAININGRESULT(ch, y) GzLy(0x0004, ch, y) /* Test results for PI config */
182#define GDCRTRAININGRESULT1(ch) GDCRTRAININGRESULT(ch, 0) /* 0x0004 */
183#define GDCRTRAININGRESULT2(ch) GDCRTRAININGRESULT(ch, 1) /* 0x0008 */
184#define GDCRRX(ch, rank) GzLy(0x10, ch, rank) /* Time setting for lane Rx */
185#define GDCRTX(ch, rank) GzLy(0x20, ch, rank) /* Time setting for lane Tx */
186
187/* Register definitions */
188#define GDCRCLKRANKSUSED_ch(ch) Gz(0x0c00, ch) /* Indicates which rank is populated */
189#define GDCRCLKCOMP_ch(ch) Gz(0x0c04, ch) /* RCOMP result register */
190#define GDCRCKPICODE_ch(ch) Gz(0x0c14, ch) /* PI coding for DDR CLK pins */
191#define GDCRCKLOGICDELAY_ch(ch) Gz(0x0c18, ch) /* Logic delay of 1 QCLK in CLK slice */
192#define GDDLLFUSE_ch(ch) Gz(0x0c20, ch) /* Used for fuse download to the DLLs */
193#define GDCRCLKDEBUGMUXCFG_ch(ch) Gz(0x0c3c, ch) /* Debug MUX control */
194
195#define GDCRCMDDEBUGMUXCFG_Cz_S(ch) Gz(0x0e3c, ch) /* Debug MUX control */
196
197#define CRCOMPOFST1_ch(ch) Gz(0x1810, ch) /* DQ, CTL and CLK Offset values */
198
199#define GDCRTRAININGMOD_ch(ch) Gz(0x3000, ch) /* Data training mode control */
200#define GDCRTRAININGRESULT1_ch(ch) Gz(0x3004, ch) /* Training results according to PI */
201#define GDCRTRAININGRESULT2_ch(ch) Gz(0x3008, ch)
202
203#define GDCRCTLRANKSUSED_ch(ch) Gz(0x3200, ch) /* Indicates which rank is populated */
204#define GDCRCMDCOMP_ch(ch) Gz(0x3204, ch) /* COMP values register */
205#define GDCRCMDCTLCOMP_ch(ch) Gz(0x3208, ch) /* COMP values register */
206#define GDCRCMDPICODING_ch(ch) Gz(0x320c, ch) /* Command and control PI coding */
207
208#define GDCRTRAININGMOD 0x3400 /* Data training mode control register */
209#define GDCRDATACOMP 0x340c /* COMP values register */
210
211#define CRCOMPOFST2 0x3714 /* CMD DRV, SComp and Static Leg controls */
212
213/* MC per-channel registers */
214#define TC_DBP_ch(ch) Cx(0x4000, ch) /* Timings: BIN */
215#define TC_RAP_ch(ch) Cx(0x4004, ch) /* Timings: Regular access */
216#define TC_RWP_ch(ch) Cx(0x4008, ch) /* Timings: Read / Write */
217#define TC_OTHP_ch(ch) Cx(0x400c, ch) /* Timings: Other parameters */
218#define SCHED_SECOND_CBIT_ch(ch) Cx(0x401c, ch) /* More chicken bits */
219#define SCHED_CBIT_ch(ch) Cx(0x4020, ch) /* Chicken bits in scheduler */
220#define SC_ROUNDT_LAT_ch(ch) Cx(0x4024, ch) /* Round-trip latency per rank */
221#define SC_IO_LATENCY_ch(ch) Cx(0x4028, ch) /* IO Latency Configuration */
222#define SCRAMBLING_SEED_1_ch(ch) Cx(0x4034, ch) /* Scrambling seed 1 */
223#define SCRAMBLING_SEED_2_LO_ch(ch) Cx(0x4038, ch) /* Scrambling seed 2 low */
224#define SCRAMBLING_SEED_2_HI_ch(ch) Cx(0x403c, ch) /* Scrambling seed 2 high */
225
226/* IOSAV Bytelane Bit-wise error */
227#define IOSAV_By_BW_SERROR_ch(ch, y) CxLy(0x4040, ch, y)
228
229/* IOSAV Bytelane Bit-wise compare mask */
230#define IOSAV_By_BW_MASK_ch(ch, y) CxLy(0x4080, ch, y)
231
232/*
233 * Defines the number of transactions (non-VC1 RD CAS commands) between two priority ticks.
234 * Different counters for transactions that are issued on the ring agents (core or GT) and
235 * transactions issued in the SA.
236 */
237#define SC_PR_CNT_CONFIG_ch(ch) Cx(0x40a8, ch)
238#define SC_PCIT_ch(ch) Cx(0x40ac, ch) /* Page-close idle timer setup - 8 bits */
239#define PM_PDWN_CONFIG_ch(ch) Cx(0x40b0, ch) /* Power-down (CKE-off) operation config */
240#define ECC_INJECT_COUNT_ch(ch) Cx(0x40b4, ch) /* ECC error injection count */
241#define ECC_DFT_ch(ch) Cx(0x40b8, ch) /* ECC DFT features (ECC4ANA, error inject) */
242#define SC_WR_ADD_DELAY_ch(ch) Cx(0x40d0, ch) /* Extra WR delay to overcome WR-flyby issue */
243
244#define IOSAV_By_BW_SERROR_C_ch(ch, y) CxLy(0x4140, ch, y) /* IOSAV Bytelane Bit-wise error */
245
246/* IOSAV sub-sequence control registers */
247#define IOSAV_n_SP_CMD_ADDR_ch(ch, y) CxLy(0x4200, ch, y) /* Special command address. */
248#define IOSAV_n_ADDR_UPDATE_ch(ch, y) CxLy(0x4210, ch, y) /* Address update control */
249#define IOSAV_n_SP_CMD_CTRL_ch(ch, y) CxLy(0x4220, ch, y) /* Control of command signals */
250#define IOSAV_n_SUBSEQ_CTRL_ch(ch, y) CxLy(0x4230, ch, y) /* Sub-sequence controls */
251#define IOSAV_n_ADDRESS_LFSR_ch(ch, y) CxLy(0x4240, ch, y) /* 23-bit LFSR state value */
252
253#define PM_THML_STAT_ch(ch) Cx(0x4280, ch) /* Thermal status of each rank */
254#define IOSAV_SEQ_CTL_ch(ch) Cx(0x4284, ch) /* IOSAV sequence level control */
255#define IOSAV_DATA_CTL_ch(ch) Cx(0x4288, ch) /* Data control in IOSAV mode */
256#define IOSAV_STATUS_ch(ch) Cx(0x428c, ch) /* State of the IOSAV sequence machine */
257#define TC_ZQCAL_ch(ch) Cx(0x4290, ch) /* ZQCAL control register */
258#define TC_RFP_ch(ch) Cx(0x4294, ch) /* Refresh Parameters */
259#define TC_RFTP_ch(ch) Cx(0x4298, ch) /* Refresh Timing Parameters */
260#define TC_MR2_SHADOW_ch(ch) Cx(0x429c, ch) /* MR2 shadow - copy of DDR configuration */
261#define MC_INIT_STATE_ch(ch) Cx(0x42a0, ch) /* IOSAV mode control */
262#define TC_SRFTP_ch(ch) Cx(0x42a4, ch) /* Self-refresh timing parameters */
263#define IOSAV_ERROR_ch(ch) Cx(0x42ac, ch) /* Data vector count of the first error */
264#define IOSAV_DC_MASK_ch(ch) Cx(0x42b0, ch) /* IOSAV data check masking */
265
266#define IOSAV_By_ERROR_COUNT_ch(ch, y) CxLy(0x4340, ch, y) /* Per-byte 16-bit error count */
267#define IOSAV_G_ERROR_COUNT_ch(ch) Cx(0x4364, ch) /* Global 16-bit error count */
268
269/** WARNING: Only applies to Ivy Bridge! */
270#define IOSAV_BYTE_SERROR_ch(ch) Cx(0x4368, ch) /** Byte-Wise Sticky Error */
271#define IOSAV_BYTE_SERROR_C_ch(ch) Cx(0x436c, ch) /** Byte-Wise Sticky Error Clear */
272
273#define PM_TRML_M_CONFIG_ch(ch) Cx(0x4380, ch) /* Thermal mode configuration */
274#define PM_CMD_PWR_ch(ch) Cx(0x4384, ch) /* Power contribution of commands */
275#define PM_BW_LIMIT_CONFIG_ch(ch) Cx(0x4388, ch) /* Bandwidth throttling on overtemp */
276#define SC_WDBWM_ch(ch) Cx(0x438c, ch) /* Watermarks and starvation counter */
277
278/* MC Channel Broadcast registers */
279#define TC_DBP 0x4c00 /* Timings: BIN */
280#define TC_RAP 0x4c04 /* Timings: Regular access */
281#define TC_RWP 0x4c08 /* Timings: Read / Write */
282#define TC_OTHP 0x4c0c /* Timings: Other parameters */
283#define SCHED_SECOND_CBIT 0x4c1c /* More chicken bits */
284#define SCHED_CBIT 0x4c20 /* Chicken bits in scheduler */
285#define SC_ROUNDT_LAT 0x4c24 /* Round-trip latency per rank */
286#define SC_IO_LATENCY 0x4c28 /* IO Latency Configuration */
287#define SCRAMBLING_SEED_1 0x4c34 /* Scrambling seed 1 */
288#define SCRAMBLING_SEED_2_LO 0x4c38 /* Scrambling seed 2 low */
289#define SCRAMBLING_SEED_2_HI 0x4c3c /* Scrambling seed 2 high */
290
291#define IOSAV_By_BW_SERROR(y) Ly(0x4c40, y) /* IOSAV Bytelane Bit-wise error */
292#define IOSAV_By_BW_MASK(y) Ly(0x4c80, y) /* IOSAV Bytelane Bit-wise compare mask */
293
294/*
295 * Defines the number of transactions (non-VC1 RD CAS commands) between two priority ticks.
296 * Different counters for transactions that are issued on the ring agents (core or GT) and
297 * transactions issued in the SA.
298 */
299#define SC_PR_CNT_CONFIG 0x4ca8
300#define SC_PCIT 0x4cac /* Page-close idle timer setup - 8 bits */
301#define PM_PDWN_CONFIG 0x4cb0 /* Power-down (CKE-off) operation config */
302#define ECC_INJECT_COUNT 0x4cb4 /* ECC error injection count */
303#define ECC_DFT 0x4cb8 /* ECC DFT features (ECC4ANA, error inject) */
304#define SC_WR_ADD_DELAY 0x4cd0 /* Extra WR delay to overcome WR-flyby issue */
305
306/** Opportunistic reads configuration during write-major-mode (WMM) */
307#define WMM_READ_CONFIG 0x4cd4 /** WARNING: Only exists on IVB! */
308
309#define IOSAV_By_BW_SERROR_C(y) Ly(0x4d40, y) /* IOSAV Bytelane Bit-wise error */
310
311#define IOSAV_n_SP_CMD_ADDR(n) Ly(0x4e00, n) /* Sub-sequence special command address */
312#define IOSAV_n_ADDR_UPDATE(n) Ly(0x4e10, n) /* Address update after command execution */
313#define IOSAV_n_SP_CMD_CTRL(n) Ly(0x4e20, n) /* Command signals in sub-sequence command */
314#define IOSAV_n_SUBSEQ_CTRL(n) Ly(0x4e30, n) /* Sub-sequence command parameter control */
315#define IOSAV_n_ADDRESS_LFSR(n) Ly(0x4e40, n) /* 23-bit LFSR value of the sequence */
316
317#define PM_THML_STAT 0x4e80 /* Thermal status of each rank */
318#define IOSAV_SEQ_CTL 0x4e84 /* IOSAV sequence level control */
319#define IOSAV_DATA_CTL 0x4e88 /* Data control in IOSAV mode */
320#define IOSAV_STATUS 0x4e8c /* State of the IOSAV sequence machine */
321#define TC_ZQCAL 0x4e90 /* ZQCAL control register */
322#define TC_RFP 0x4e94 /* Refresh Parameters */
323#define TC_RFTP 0x4e98 /* Refresh Timing Parameters */
324#define TC_MR2_SHADOW 0x4e9c /* MR2 shadow - copy of DDR configuration */
325#define MC_INIT_STATE 0x4ea0 /* IOSAV mode control */
326#define TC_SRFTP 0x4ea4 /* Self-refresh timing parameters */
327
328/**
329 * Auxiliary register in mcmnts synthesis FUB (Functional Unit Block). Additionally, this
330 * register is also used to enable IOSAV_n_SP_CMD_ADDR optimization on Ivy Bridge.
331 */
332#define MCMNTS_SPARE 0x4ea8 /** WARNING: Reserved, use only on IVB! */
333
334#define IOSAV_ERROR 0x4eac /* Data vector count of the first error */
335#define IOSAV_DC_MASK 0x4eb0 /* IOSAV data check masking */
336
337#define IOSAV_By_ERROR_COUNT(y) Ly(0x4f40, y) /* Per-byte 16-bit error counter */
338#define IOSAV_G_ERROR_COUNT 0x4f64 /* Global 16-bit error counter */
339
340/** WARNING: Only applies to Ivy Bridge! */
341#define IOSAV_BYTE_SERROR 0x4f68 /** Byte-Wise Sticky Error */
342#define IOSAV_BYTE_SERROR_C 0x4f6c /** Byte-Wise Sticky Error Clear */
343
344#define PM_TRML_M_CONFIG 0x4f80 /* Thermal mode configuration */
345#define PM_CMD_PWR 0x4f84 /* Power contribution of commands */
346#define PM_BW_LIMIT_CONFIG 0x4f88 /* Bandwidth throttling on overtemperature */
347#define SC_WDBWM 0x4f8c /* Watermarks and starvation counter config */
348
349/* No, there's no need to get mad about the Memory Address Decoder */
350#define MAD_CHNL 0x5000 /* Address Decoder Channel Configuration */
351#define MAD_DIMM(ch) Ly(0x5004, ch) /* Channel characteristics */
352#define MAD_DIMM_CH0 MAD_DIMM(0) /* Channel 0 is at 0x5004 */
353#define MAD_DIMM_CH1 MAD_DIMM(1) /* Channel 1 is at 0x5008 */
354#define MAD_DIMM_CH2 MAD_DIMM(2) /* Channel 2 is at 0x500c (unused on SNB) */
355
356#define MAD_ZR 0x5014 /* Address Decode Zones */
357#define MCDECS_SPARE 0x5018 /* Spare register in mcdecs synthesis FUB */
358#define MCDECS_CBIT 0x501c /* Chicken bits in mcdecs synthesis FUB */
359
360#define CHANNEL_HASH 0x5024 /** WARNING: Only exists on IVB! */
361
362#define MC_INIT_STATE_G 0x5030 /* High-level behavior in IOSAV mode */
363#define MRC_REVISION 0x5034 /* MRC Revision */
364#define PM_DLL_CONFIG 0x5064 /* Memory Controller I/O DLL config */
365#define RCOMP_TIMER 0x5084 /* RCOMP evaluation timer register */
366
367#define MC_LOCK 0x50fc /* Memory Controlller Lock register */
368
369#define GFXVTBAR 0x5400 /* Base address for IGD */
370#define VTVC0BAR 0x5410 /* Base address for PEG, USB, SATA, etc. */
371
372/* On Ivy Bridge, this is used to enable Power Aware Interrupt Routing */
373#define INTRDIRCTL 0x5418 /* Interrupt Redirection Control */
374
375/* PAVP message register. Bit 0 locks PAVP settings, and bits [31..20] are an offset. */
376#define PAVP_MSG 0x5500
377
378#define MEM_TRML_ESTIMATION_CONFIG 0x5880
379#define MEM_TRML_THRESHOLDS_CONFIG 0x5888
380#define MEM_TRML_INTERRUPT 0x58a8
381
382/* Some power MSRs are also represented in MCHBAR */
383#define MCH_PKG_POWER_LIMIT_LO 0x59a0 /* Turbo Power Limit 1 parameters */
384#define MCH_PKG_POWER_LIMIT_HI 0x59a4 /* Turbo Power Limit 2 parameters */
385
386#define SSKPD 0x5d10 /* 64-bit scratchpad register */
387#define SSKPD_HI 0x5d14
388#define BIOS_RESET_CPL 0x5da8 /* 8-bit */
389
390/* PCODE will sample SAPM-related registers at the end of Phase 4. */
391#define MC_BIOS_REQ 0x5e00 /* Memory frequency request register */
392#define MC_BIOS_DATA 0x5e04 /* Miscellaneous information for BIOS */
393#define SAPMCTL 0x5f00 /* Bit 3 enables DDR EPG (C7i) on IVB */
394#define M_COMP 0x5f08 /* Memory COMP control */
395#define SAPMTIMERS 0x5f10 /* SAPM timers in 10ns (100 MHz) units */
396
397/* WARNING: Only applies to Sandy Bridge! */
398#define BANDTIMERS_SNB 0x5f18 /* MPLL and PPLL time to do self-banding */
399
400/** WARNING: Only applies to Ivy Bridge! */
401#define SAPMTIMERS2_IVB 0x5f18 /** Extra latency for DDRIO EPG exit (C7i) */
402#define BANDTIMERS_IVB 0x5f20 /** MPLL and PPLL time to do self-banding */
403
404/* Finalize registers. The names come from Haswell, as the finalize sequence is the same. */
405#define HDAUDRID 0x6008
406#define UMAGFXCTL 0x6020
407#define VDMBDFBARKVM 0x6030
408#define VDMBDFBARPAVP 0x6034
409#define VTDTRKLCK 0x63fc
410#define REQLIM 0x6800
411#define DMIVCLIM 0x7000
412#define PEGCTL 0x7010 /* Bit 0 is PCIPWRGAT (clock gate all PEG controllers) */
413#define CRDTCTL3 0x740c /* Minimum completion credits for PCIe/DMI */
414#define CRDTCTL4 0x7410 /* Read Return Tracker credits */
415#define CRDTLCK 0x77fc
416
417#endif /* __SANDYBRIDGE_MCHBAR_REGS_H__ */