blob: 74377b247b6cd7fad2885eab5298f51262715b03 [file] [log] [blame]
Angel Pons6e5aabd2020-03-23 23:44:42 +01001/* SPDX-License-Identifier: GPL-2.0-only */
Angel Pons7c49cb82020-03-16 23:17:32 +01002
3#ifndef __SANDYBRIDGE_MCHBAR_REGS_H__
4#define __SANDYBRIDGE_MCHBAR_REGS_H__
5
6/*
7 * ### IOSAV command queue notes ###
8 *
9 * Intel provides a command queue of depth four.
10 * Every command is configured by using multiple MCHBAR registers.
11 * On executing the command queue, you have to specify its depth (number of commands).
12 *
13 * The macros for these registers can take some integer parameters, within these bounds:
14 * channel: [0..1]
15 * index: [0..3]
16 * lane: [0..8]
17 *
18 * Note that these ranges are 'closed': both endpoints are included.
19 *
20 *
21 *
22 * ### Register description ###
23 *
24 * IOSAV_n_SP_CMD_ADDR_ch(channel, index)
25 * Sub-sequence command addresses. Controls the address, bank address and slotrank signals.
26 *
27 * Bitfields:
28 * [0..15] Row / Column Address.
29 * [16..18] The result of (10 + [16..18]) is the number of valid row bits.
30 * Note: Value 1 is not implemented. Not that it really matters, though.
31 * Value 7 is reserved, as the hardware does not support it.
32 * [20..22] Bank Address.
33 * [24..25] Rank select. Let's call it "ranksel", as it is mentioned later.
34 *
35 * IOSAV_n_ADDR_UPDATE_ch(channel, index)
36 * How the address shall be updated after executing the sub-sequence command.
37 *
38 * Bitfields:
39 * [0] Increment CAS/RAS by 1.
40 * [1] Increment CAS/RAS by 8.
41 * [2] Increment bank select by 1.
42 * [3..4] Increment rank select by 1, 2 or 3.
43 * [5..9] Known as "addr_wrap". Address bits will wrap around the [addr_wrap..0] range.
44 * [10..11] LFSR update:
45 * 00: Do not use the LFSR function.
46 * 01: Undefined, treat as Reserved.
47 * 10: Apply LFSR on the [addr_wrap..0] bit range.
48 * 11: Apply LFSR on the [addr_wrap..3] bit range.
49 *
50 * [12..15] Update rate. The number of command runs between address updates. For example:
51 * 0: Update every command run.
52 * 1: Update every second command run. That is, half of the command rate.
53 * N: Update after N command runs without updates.
54 *
55 * [16..17] LFSR behavior on the deselect cycles (when no sub-seq command is issued):
56 * 0: No change w.r.t. the last issued command.
57 * 1: LFSR XORs with address & command (excluding CS), but does not update.
58 * 2: LFSR XORs with address & command (excluding CS), and updates.
59 *
60 * IOSAV_n_SP_CMD_CTRL_ch(channel, index)
61 * Special command control register. Controls the DRAM command signals.
62 *
63 * Bitfields:
64 * [0] !RAS signal.
65 * [1] !CAS signal.
66 * [2] !WE signal.
67 * [4..7] CKE, per rank and channel.
68 * [8..11] ODT, per rank and channel.
Angel Pons394ac5b2020-03-23 00:35:14 +010069 * [12..15] Chip select, per rank and channel. It works as follows:
Angel Pons7c49cb82020-03-16 23:17:32 +010070 *
71 * entity CS_BLOCK is
72 * port (
Angel Pons394ac5b2020-03-23 00:35:14 +010073 * MODE : in std_logic; -- Mode select at [16]
Angel Pons7c49cb82020-03-16 23:17:32 +010074 * RANKSEL : in std_logic_vector(0 to 3); -- Decoded "ranksel" value
Angel Pons394ac5b2020-03-23 00:35:14 +010075 * CS_CTL : in std_logic_vector(0 to 3); -- Chip select control at [12..15]
Angel Pons7c49cb82020-03-16 23:17:32 +010076 * CS_Q : out std_logic_vector(0 to 3) -- CS signals
77 * );
78 * end entity CS_BLOCK;
79 *
80 * architecture RTL of CS_BLOCK is
81 * begin
82 * if MODE = '1' then
83 * CS_Q <= not RANKSEL and CS_CTL;
84 * else
85 * CS_Q <= CS_CTL;
86 * end if;
87 * end architecture RTL;
88 *
Angel Pons394ac5b2020-03-23 00:35:14 +010089 * [16] Chip Select mode control.
Angel Pons7c49cb82020-03-16 23:17:32 +010090 * [17] Auto Precharge. Only valid when using 10 row bits!
91 *
92 * IOSAV_n_SUBSEQ_CTRL_ch(channel, index)
93 * Sub-sequence parameters. Controls repetititons, delays and data orientation.
94 *
95 * Bitfields:
96 * [0..8] Number of repetitions of the sub-sequence command.
97 * [10..14] Gap, number of clock-cycles to wait before sending the next command.
98 * [16..24] Number of clock-cycles to idle between sub-sequence commands.
99 * [26..27] The direction of the data.
100 * 00: None, does not handle data
101 * 01: Read
102 * 10: Write
103 * 11: Read & Write
104 *
105 * IOSAV_n_ADDRESS_LFSR_ch(channel, index)
106 * 23-bit LFSR state register. It is written into the LFSR when the sub-sequence is loaded,
107 * and then read back from the LFSR when the sub-sequence is done.
108 *
109 * Bitfields:
110 * [0..22] LFSR state.
111 *
112 * IOSAV_SEQ_CTL_ch(channel)
113 * Control the sequence level in IOSAV: number of sub-sequences, iterations, maintenance...
114 *
115 * Bitfields:
116 * [0..7] Number of full sequence executions. When this field becomes non-zero, then the
117 * sequence starts running immediately. This value is decremented after completing
118 * a full sequence iteration. When it is zero, the sequence is done. No decrement
119 * is done if this field is set to 0xff. This is the "infinite repeat" mode, and
120 * it is manually aborted by clearing this field.
121 *
122 * [8..16] Number of wait cycles after each sequence iteration. This wait's purpose is to
123 * allow performing maintenance in infinite loops. When non-zero, RCOMP, refresh
124 * and ZQXS operations can take place.
125 *
126 * [17] Stop-on-error mode: Whether to stop sequence execution when an error occurs.
127 * [18..19] Number of sub-sequences. The programmed value is the index of the last sub-seq.
128 * [20] If set, keep refresh disabled until the next sequence execution.
129 * DANGER: Refresh must be re-enabled within the (9 * tREFI) period!
130 *
131 * [22] If set, sequence execution will not prevent refresh. This cannot be set when
132 * bit [20] is also set, or was set on the previous sequence. This bit exists so
133 * that the sequence machine can be used as a timer without affecting the memory.
134 *
135 * [23] If set, a output pin is asserted on the first detected error. This output can
136 * be used as a trigger for an oscilloscope or a logic analyzer, which is handy.
137 *
138 * IOSAV_DATA_CTL_ch(channel)
139 * Data-related controls in IOSAV mode.
140 *
141 * Bitfields:
142 * [0..7] WDB (Write Data Buffer) pattern length: [0..7] = (length / 8) - 1;
143 * [8..15] WDB read pointer. Points at the data used for IOSAV write transactions.
144 * [16..23] Comparison pointer. Used to compare data from IOSAV read transactions.
145 * [24] If set, increment pointers only when micro-breakpoint is active.
146 *
147 * IOSAV_STATUS_ch(channel)
148 * State of the IOSAV sequence machine. Should be polled after sending an IOSAV sequence.
149 *
150 * Bitfields:
151 * [0] IDLE: IOSAV is sleeping.
152 * [1] BUSY: IOSAV is running a sequence.
153 * [2] DONE: IOSAV has completed a sequence.
154 * [3] ERROR: IOSAV detected an error and stopped on it, when using Stop-on-error.
155 * [4] PANIC: The refresh machine issued a Panic Refresh, and IOSAV was aborted.
156 * [5] RCOMP: RComp failure. Unused, consider Reserved.
157 * [6] Cleared with a new sequence, and set when done and refresh counter is drained.
158 *
159 */
160
161/* Indexed register helper macros */
162#define Gz(r, z) ((r) + ((z) << 8))
163#define Ly(r, y) ((r) + ((y) << 2))
164#define Cx(r, x) ((r) + ((x) << 10))
165#define CxLy(r, x, y) ((r) + ((x) << 10) + ((y) << 2))
166#define GzLy(r, z, y) ((r) + ((z) << 8) + ((y) << 2))
167
168/* Byte lane training register base addresses */
169#define LANEBASE_B0 0x0000
170#define LANEBASE_B1 0x0200
171#define LANEBASE_B2 0x0400
172#define LANEBASE_B3 0x0600
173#define LANEBASE_ECC 0x0800 /* ECC lane is in the middle of the data lanes */
174#define LANEBASE_B4 0x1000
175#define LANEBASE_B5 0x1200
176#define LANEBASE_B6 0x1400
177#define LANEBASE_B7 0x1600
178
179/* Byte lane register offsets */
180#define GDCRTRAININGRESULT(ch, y) GzLy(0x0004, ch, y) /* Test results for PI config */
181#define GDCRTRAININGRESULT1(ch) GDCRTRAININGRESULT(ch, 0) /* 0x0004 */
182#define GDCRTRAININGRESULT2(ch) GDCRTRAININGRESULT(ch, 1) /* 0x0008 */
183#define GDCRRX(ch, rank) GzLy(0x10, ch, rank) /* Time setting for lane Rx */
184#define GDCRTX(ch, rank) GzLy(0x20, ch, rank) /* Time setting for lane Tx */
185
186/* Register definitions */
187#define GDCRCLKRANKSUSED_ch(ch) Gz(0x0c00, ch) /* Indicates which rank is populated */
188#define GDCRCLKCOMP_ch(ch) Gz(0x0c04, ch) /* RCOMP result register */
189#define GDCRCKPICODE_ch(ch) Gz(0x0c14, ch) /* PI coding for DDR CLK pins */
190#define GDCRCKLOGICDELAY_ch(ch) Gz(0x0c18, ch) /* Logic delay of 1 QCLK in CLK slice */
191#define GDDLLFUSE_ch(ch) Gz(0x0c20, ch) /* Used for fuse download to the DLLs */
192#define GDCRCLKDEBUGMUXCFG_ch(ch) Gz(0x0c3c, ch) /* Debug MUX control */
193
194#define GDCRCMDDEBUGMUXCFG_Cz_S(ch) Gz(0x0e3c, ch) /* Debug MUX control */
195
196#define CRCOMPOFST1_ch(ch) Gz(0x1810, ch) /* DQ, CTL and CLK Offset values */
197
198#define GDCRTRAININGMOD_ch(ch) Gz(0x3000, ch) /* Data training mode control */
199#define GDCRTRAININGRESULT1_ch(ch) Gz(0x3004, ch) /* Training results according to PI */
200#define GDCRTRAININGRESULT2_ch(ch) Gz(0x3008, ch)
201
202#define GDCRCTLRANKSUSED_ch(ch) Gz(0x3200, ch) /* Indicates which rank is populated */
203#define GDCRCMDCOMP_ch(ch) Gz(0x3204, ch) /* COMP values register */
204#define GDCRCMDCTLCOMP_ch(ch) Gz(0x3208, ch) /* COMP values register */
205#define GDCRCMDPICODING_ch(ch) Gz(0x320c, ch) /* Command and control PI coding */
206
207#define GDCRTRAININGMOD 0x3400 /* Data training mode control register */
208#define GDCRDATACOMP 0x340c /* COMP values register */
209
210#define CRCOMPOFST2 0x3714 /* CMD DRV, SComp and Static Leg controls */
211
212/* MC per-channel registers */
213#define TC_DBP_ch(ch) Cx(0x4000, ch) /* Timings: BIN */
214#define TC_RAP_ch(ch) Cx(0x4004, ch) /* Timings: Regular access */
215#define TC_RWP_ch(ch) Cx(0x4008, ch) /* Timings: Read / Write */
216#define TC_OTHP_ch(ch) Cx(0x400c, ch) /* Timings: Other parameters */
Angel Pons5fd50b62020-03-22 13:00:44 +0100217
218/** WARNING: Only applies to Ivy Bridge! */
219#define TC_DTP_ch(ch) Cx(0x4014, ch) /** Timings: Debug parameters */
220
Angel Pons7c49cb82020-03-16 23:17:32 +0100221#define SCHED_SECOND_CBIT_ch(ch) Cx(0x401c, ch) /* More chicken bits */
222#define SCHED_CBIT_ch(ch) Cx(0x4020, ch) /* Chicken bits in scheduler */
223#define SC_ROUNDT_LAT_ch(ch) Cx(0x4024, ch) /* Round-trip latency per rank */
224#define SC_IO_LATENCY_ch(ch) Cx(0x4028, ch) /* IO Latency Configuration */
225#define SCRAMBLING_SEED_1_ch(ch) Cx(0x4034, ch) /* Scrambling seed 1 */
226#define SCRAMBLING_SEED_2_LO_ch(ch) Cx(0x4038, ch) /* Scrambling seed 2 low */
227#define SCRAMBLING_SEED_2_HI_ch(ch) Cx(0x403c, ch) /* Scrambling seed 2 high */
228
229/* IOSAV Bytelane Bit-wise error */
230#define IOSAV_By_BW_SERROR_ch(ch, y) CxLy(0x4040, ch, y)
231
232/* IOSAV Bytelane Bit-wise compare mask */
233#define IOSAV_By_BW_MASK_ch(ch, y) CxLy(0x4080, ch, y)
234
235/*
236 * Defines the number of transactions (non-VC1 RD CAS commands) between two priority ticks.
237 * Different counters for transactions that are issued on the ring agents (core or GT) and
238 * transactions issued in the SA.
239 */
240#define SC_PR_CNT_CONFIG_ch(ch) Cx(0x40a8, ch)
241#define SC_PCIT_ch(ch) Cx(0x40ac, ch) /* Page-close idle timer setup - 8 bits */
242#define PM_PDWN_CONFIG_ch(ch) Cx(0x40b0, ch) /* Power-down (CKE-off) operation config */
243#define ECC_INJECT_COUNT_ch(ch) Cx(0x40b4, ch) /* ECC error injection count */
244#define ECC_DFT_ch(ch) Cx(0x40b8, ch) /* ECC DFT features (ECC4ANA, error inject) */
245#define SC_WR_ADD_DELAY_ch(ch) Cx(0x40d0, ch) /* Extra WR delay to overcome WR-flyby issue */
246
247#define IOSAV_By_BW_SERROR_C_ch(ch, y) CxLy(0x4140, ch, y) /* IOSAV Bytelane Bit-wise error */
248
249/* IOSAV sub-sequence control registers */
250#define IOSAV_n_SP_CMD_ADDR_ch(ch, y) CxLy(0x4200, ch, y) /* Special command address. */
251#define IOSAV_n_ADDR_UPDATE_ch(ch, y) CxLy(0x4210, ch, y) /* Address update control */
252#define IOSAV_n_SP_CMD_CTRL_ch(ch, y) CxLy(0x4220, ch, y) /* Control of command signals */
253#define IOSAV_n_SUBSEQ_CTRL_ch(ch, y) CxLy(0x4230, ch, y) /* Sub-sequence controls */
254#define IOSAV_n_ADDRESS_LFSR_ch(ch, y) CxLy(0x4240, ch, y) /* 23-bit LFSR state value */
255
256#define PM_THML_STAT_ch(ch) Cx(0x4280, ch) /* Thermal status of each rank */
257#define IOSAV_SEQ_CTL_ch(ch) Cx(0x4284, ch) /* IOSAV sequence level control */
258#define IOSAV_DATA_CTL_ch(ch) Cx(0x4288, ch) /* Data control in IOSAV mode */
259#define IOSAV_STATUS_ch(ch) Cx(0x428c, ch) /* State of the IOSAV sequence machine */
260#define TC_ZQCAL_ch(ch) Cx(0x4290, ch) /* ZQCAL control register */
261#define TC_RFP_ch(ch) Cx(0x4294, ch) /* Refresh Parameters */
262#define TC_RFTP_ch(ch) Cx(0x4298, ch) /* Refresh Timing Parameters */
263#define TC_MR2_SHADOW_ch(ch) Cx(0x429c, ch) /* MR2 shadow - copy of DDR configuration */
264#define MC_INIT_STATE_ch(ch) Cx(0x42a0, ch) /* IOSAV mode control */
265#define TC_SRFTP_ch(ch) Cx(0x42a4, ch) /* Self-refresh timing parameters */
266#define IOSAV_ERROR_ch(ch) Cx(0x42ac, ch) /* Data vector count of the first error */
267#define IOSAV_DC_MASK_ch(ch) Cx(0x42b0, ch) /* IOSAV data check masking */
268
269#define IOSAV_By_ERROR_COUNT_ch(ch, y) CxLy(0x4340, ch, y) /* Per-byte 16-bit error count */
270#define IOSAV_G_ERROR_COUNT_ch(ch) Cx(0x4364, ch) /* Global 16-bit error count */
271
272/** WARNING: Only applies to Ivy Bridge! */
273#define IOSAV_BYTE_SERROR_ch(ch) Cx(0x4368, ch) /** Byte-Wise Sticky Error */
274#define IOSAV_BYTE_SERROR_C_ch(ch) Cx(0x436c, ch) /** Byte-Wise Sticky Error Clear */
275
276#define PM_TRML_M_CONFIG_ch(ch) Cx(0x4380, ch) /* Thermal mode configuration */
277#define PM_CMD_PWR_ch(ch) Cx(0x4384, ch) /* Power contribution of commands */
278#define PM_BW_LIMIT_CONFIG_ch(ch) Cx(0x4388, ch) /* Bandwidth throttling on overtemp */
279#define SC_WDBWM_ch(ch) Cx(0x438c, ch) /* Watermarks and starvation counter */
280
281/* MC Channel Broadcast registers */
282#define TC_DBP 0x4c00 /* Timings: BIN */
283#define TC_RAP 0x4c04 /* Timings: Regular access */
284#define TC_RWP 0x4c08 /* Timings: Read / Write */
285#define TC_OTHP 0x4c0c /* Timings: Other parameters */
Angel Pons5fd50b62020-03-22 13:00:44 +0100286
287/** WARNING: Only applies to Ivy Bridge! */
288#define TC_DTP 0x4c14 /** Timings: Debug parameters */
289
Angel Pons7c49cb82020-03-16 23:17:32 +0100290#define SCHED_SECOND_CBIT 0x4c1c /* More chicken bits */
291#define SCHED_CBIT 0x4c20 /* Chicken bits in scheduler */
292#define SC_ROUNDT_LAT 0x4c24 /* Round-trip latency per rank */
293#define SC_IO_LATENCY 0x4c28 /* IO Latency Configuration */
294#define SCRAMBLING_SEED_1 0x4c34 /* Scrambling seed 1 */
295#define SCRAMBLING_SEED_2_LO 0x4c38 /* Scrambling seed 2 low */
296#define SCRAMBLING_SEED_2_HI 0x4c3c /* Scrambling seed 2 high */
297
298#define IOSAV_By_BW_SERROR(y) Ly(0x4c40, y) /* IOSAV Bytelane Bit-wise error */
299#define IOSAV_By_BW_MASK(y) Ly(0x4c80, y) /* IOSAV Bytelane Bit-wise compare mask */
300
301/*
302 * Defines the number of transactions (non-VC1 RD CAS commands) between two priority ticks.
303 * Different counters for transactions that are issued on the ring agents (core or GT) and
304 * transactions issued in the SA.
305 */
306#define SC_PR_CNT_CONFIG 0x4ca8
307#define SC_PCIT 0x4cac /* Page-close idle timer setup - 8 bits */
308#define PM_PDWN_CONFIG 0x4cb0 /* Power-down (CKE-off) operation config */
309#define ECC_INJECT_COUNT 0x4cb4 /* ECC error injection count */
310#define ECC_DFT 0x4cb8 /* ECC DFT features (ECC4ANA, error inject) */
311#define SC_WR_ADD_DELAY 0x4cd0 /* Extra WR delay to overcome WR-flyby issue */
312
313/** Opportunistic reads configuration during write-major-mode (WMM) */
314#define WMM_READ_CONFIG 0x4cd4 /** WARNING: Only exists on IVB! */
315
316#define IOSAV_By_BW_SERROR_C(y) Ly(0x4d40, y) /* IOSAV Bytelane Bit-wise error */
317
318#define IOSAV_n_SP_CMD_ADDR(n) Ly(0x4e00, n) /* Sub-sequence special command address */
319#define IOSAV_n_ADDR_UPDATE(n) Ly(0x4e10, n) /* Address update after command execution */
320#define IOSAV_n_SP_CMD_CTRL(n) Ly(0x4e20, n) /* Command signals in sub-sequence command */
321#define IOSAV_n_SUBSEQ_CTRL(n) Ly(0x4e30, n) /* Sub-sequence command parameter control */
322#define IOSAV_n_ADDRESS_LFSR(n) Ly(0x4e40, n) /* 23-bit LFSR value of the sequence */
323
324#define PM_THML_STAT 0x4e80 /* Thermal status of each rank */
325#define IOSAV_SEQ_CTL 0x4e84 /* IOSAV sequence level control */
326#define IOSAV_DATA_CTL 0x4e88 /* Data control in IOSAV mode */
327#define IOSAV_STATUS 0x4e8c /* State of the IOSAV sequence machine */
328#define TC_ZQCAL 0x4e90 /* ZQCAL control register */
329#define TC_RFP 0x4e94 /* Refresh Parameters */
330#define TC_RFTP 0x4e98 /* Refresh Timing Parameters */
331#define TC_MR2_SHADOW 0x4e9c /* MR2 shadow - copy of DDR configuration */
332#define MC_INIT_STATE 0x4ea0 /* IOSAV mode control */
333#define TC_SRFTP 0x4ea4 /* Self-refresh timing parameters */
334
335/**
336 * Auxiliary register in mcmnts synthesis FUB (Functional Unit Block). Additionally, this
337 * register is also used to enable IOSAV_n_SP_CMD_ADDR optimization on Ivy Bridge.
338 */
339#define MCMNTS_SPARE 0x4ea8 /** WARNING: Reserved, use only on IVB! */
340
341#define IOSAV_ERROR 0x4eac /* Data vector count of the first error */
342#define IOSAV_DC_MASK 0x4eb0 /* IOSAV data check masking */
343
344#define IOSAV_By_ERROR_COUNT(y) Ly(0x4f40, y) /* Per-byte 16-bit error counter */
345#define IOSAV_G_ERROR_COUNT 0x4f64 /* Global 16-bit error counter */
346
347/** WARNING: Only applies to Ivy Bridge! */
348#define IOSAV_BYTE_SERROR 0x4f68 /** Byte-Wise Sticky Error */
349#define IOSAV_BYTE_SERROR_C 0x4f6c /** Byte-Wise Sticky Error Clear */
350
351#define PM_TRML_M_CONFIG 0x4f80 /* Thermal mode configuration */
352#define PM_CMD_PWR 0x4f84 /* Power contribution of commands */
353#define PM_BW_LIMIT_CONFIG 0x4f88 /* Bandwidth throttling on overtemperature */
354#define SC_WDBWM 0x4f8c /* Watermarks and starvation counter config */
355
356/* No, there's no need to get mad about the Memory Address Decoder */
357#define MAD_CHNL 0x5000 /* Address Decoder Channel Configuration */
358#define MAD_DIMM(ch) Ly(0x5004, ch) /* Channel characteristics */
359#define MAD_DIMM_CH0 MAD_DIMM(0) /* Channel 0 is at 0x5004 */
360#define MAD_DIMM_CH1 MAD_DIMM(1) /* Channel 1 is at 0x5008 */
361#define MAD_DIMM_CH2 MAD_DIMM(2) /* Channel 2 is at 0x500c (unused on SNB) */
362
363#define MAD_ZR 0x5014 /* Address Decode Zones */
364#define MCDECS_SPARE 0x5018 /* Spare register in mcdecs synthesis FUB */
365#define MCDECS_CBIT 0x501c /* Chicken bits in mcdecs synthesis FUB */
366
367#define CHANNEL_HASH 0x5024 /** WARNING: Only exists on IVB! */
368
369#define MC_INIT_STATE_G 0x5030 /* High-level behavior in IOSAV mode */
370#define MRC_REVISION 0x5034 /* MRC Revision */
371#define PM_DLL_CONFIG 0x5064 /* Memory Controller I/O DLL config */
372#define RCOMP_TIMER 0x5084 /* RCOMP evaluation timer register */
373
374#define MC_LOCK 0x50fc /* Memory Controlller Lock register */
375
376#define GFXVTBAR 0x5400 /* Base address for IGD */
377#define VTVC0BAR 0x5410 /* Base address for PEG, USB, SATA, etc. */
378
379/* On Ivy Bridge, this is used to enable Power Aware Interrupt Routing */
380#define INTRDIRCTL 0x5418 /* Interrupt Redirection Control */
381
382/* PAVP message register. Bit 0 locks PAVP settings, and bits [31..20] are an offset. */
383#define PAVP_MSG 0x5500
384
385#define MEM_TRML_ESTIMATION_CONFIG 0x5880
386#define MEM_TRML_THRESHOLDS_CONFIG 0x5888
387#define MEM_TRML_INTERRUPT 0x58a8
388
389/* Some power MSRs are also represented in MCHBAR */
390#define MCH_PKG_POWER_LIMIT_LO 0x59a0 /* Turbo Power Limit 1 parameters */
391#define MCH_PKG_POWER_LIMIT_HI 0x59a4 /* Turbo Power Limit 2 parameters */
392
393#define SSKPD 0x5d10 /* 64-bit scratchpad register */
394#define SSKPD_HI 0x5d14
395#define BIOS_RESET_CPL 0x5da8 /* 8-bit */
396
397/* PCODE will sample SAPM-related registers at the end of Phase 4. */
398#define MC_BIOS_REQ 0x5e00 /* Memory frequency request register */
399#define MC_BIOS_DATA 0x5e04 /* Miscellaneous information for BIOS */
400#define SAPMCTL 0x5f00 /* Bit 3 enables DDR EPG (C7i) on IVB */
401#define M_COMP 0x5f08 /* Memory COMP control */
402#define SAPMTIMERS 0x5f10 /* SAPM timers in 10ns (100 MHz) units */
403
404/* WARNING: Only applies to Sandy Bridge! */
405#define BANDTIMERS_SNB 0x5f18 /* MPLL and PPLL time to do self-banding */
406
407/** WARNING: Only applies to Ivy Bridge! */
408#define SAPMTIMERS2_IVB 0x5f18 /** Extra latency for DDRIO EPG exit (C7i) */
409#define BANDTIMERS_IVB 0x5f20 /** MPLL and PPLL time to do self-banding */
410
411/* Finalize registers. The names come from Haswell, as the finalize sequence is the same. */
412#define HDAUDRID 0x6008
413#define UMAGFXCTL 0x6020
414#define VDMBDFBARKVM 0x6030
415#define VDMBDFBARPAVP 0x6034
416#define VTDTRKLCK 0x63fc
417#define REQLIM 0x6800
418#define DMIVCLIM 0x7000
419#define PEGCTL 0x7010 /* Bit 0 is PCIPWRGAT (clock gate all PEG controllers) */
420#define CRDTCTL3 0x740c /* Minimum completion credits for PCIe/DMI */
421#define CRDTCTL4 0x7410 /* Read Return Tracker credits */
422#define CRDTLCK 0x77fc
423
424#endif /* __SANDYBRIDGE_MCHBAR_REGS_H__ */