blob: 2b3dd9da5749999f8c05e618049b065dd6226d5b [file] [log] [blame]
/* SPDX-License-Identifier: GPL-2.0-or-later */
#ifndef SUPERIO_ITE_IT8720F_CHIP_H
#define SUPERIO_ITE_IT8720F_CHIP_H
#include <superio/ite/common/env_ctrl_chip.h>
struct superio_ite_it8720f_config {
struct ite_ec_config ec;
};
#endif /* SUPERIO_ITE_IT8720F_CHIP_H */