blob: 364a04645f5ea6b1b43012fc3a2fcd5177e17b38 [file] [log] [blame]
Paul Menzel29a01b72016-05-03 06:54:02 +0200177f84062b2c71d3ddcb6637f293eb323 *build/coreboot.rom